tag:blogger.com,1999:blog-4525214865475707712024-03-18T21:21:12.752-07:00MicrocontrollersShare your ideas through commentsbharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.comBlogger452125tag:blogger.com,1999:blog-452521486547570771.post-38150633376907082442012-07-27T22:12:00.000-07:002012-07-27T22:12:26.282-07:00VHDL IMPLEMENTATION OF 100 BASE-T4 NETWORK REPEATER<div dir="ltr" style="text-align: left;" trbidi="on"><br />
<div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-autospace: none;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">Abstract<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none; text-indent: .5in;">100BASE-T4 was an early implementation of Fast Ethernet standards that carry traffic at the nominal rate of 100 Mbit/s, against the original Ethernet speed of 10 Mbit/s. 100BaseT4 started in the IEEE 802.3u committee as Fast Ethernet. It requires four twisted copper pairs, One pair is reserved for transmit, one for receive, and the remaining two will switch direction. The function of the network repeater is to create the logical equivalent of a shared medium. Each host on the network connects to a common repeater through a segment of twisted pair coaxial cable. The repeater logically joins cable segments to create a larger network. They improve reliability and performance because they isolate hosts with faulty connections and prevent them from disrupting the rest of the network to summarize the functions of the repeater.<o:p></o:p></div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none; text-indent: .5in;">100 Base T4 network repeater receives data from One port and retransmits it to the other ports It detects collisions, activity, and errors, generating and transmitting the appropriate symbols under these conditions and it detects, jabbering and partition conditions, asserting tx_en, rx_en as appropriate. To accomplish the functions required of the network repeater, the incoming data must be buffered and the correct symbols generated. The buffered data must be multiplexed with other symbols, depending on which data should be transmitted to the active ports.<o:p></o:p></div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none; text-indent: .5in;">The complete design is going to be coded using VHDL, then functional simulation will be performed using ISE SIMULATOR; the design will be synthesized using XILINX ISE.<o:p></o:p></div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none;">Hardware Language: VHDL<o:p></o:p></div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none;">Software Tools: Xilinx 9.2i.</div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none;"><br />
</div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: justify; text-autospace: none;"></div><div style="line-height: 150%; text-indent: 0.5in;">Ethernet is based on the idea that a network between a group of computers should behave as a shared medium. Only one host can transmit data at a time, but more than one host may attempt to transmit data at the same time. If a host wishes to transmit data, it must wait until it detects that the medium is not in use. If two hosts transmit at the same time, a collision occurs and both hosts must stop transmission and try to resend their data after an arbitrary waiting period. This technique is a primary feature of Ethernet and is called carrier sense, multiple access with collision detect (CSMA/CD).</div><div style="line-height: 150%; text-indent: 0.5in;">The function of the network repeater is to create the logical equivalent of a shared medium. Each host on the network connects to a common repeater through a segment of twisted pair coaxial cable. The repeater logically joins cable segments to create a larger network. They improve reliability and performance because they isolate hosts with faulty connections and prevent them from disrupting the rest of the network. Ethernet conforms to the IEEE 802.3 standard concerning network media, physical interfaces, signaling, and network access protocol.</div><div style="line-height: 150%; text-indent: 0.5in;"><br />
</div><div style="line-height: 150%;"> A network repeater's basic function is to retransmit data that is sent from one port to all other ports. Transceivers perform the electrical functions needed for interfacing the host ports to the repeater core logic.</div><div style="line-height: 150%;"><br />
</div><div style="line-height: 150%;"></div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><b><span style="font-size: 14.0pt; line-height: 150%;">2.1. Introduction<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"> The invention of the transistor by William B. Shockley, Walter H. Brattain and John Bardeen of Bell Telephone Laboratories was followed by the development of the integrated circuit. The very first IC emerged at the beginning of 1960 and since that time there have already been four generations of ICs: SSI (small scale integration), <b>MSI</b> (medium scale integration), <b>LSI</b> (large scale integration), and <b>VLSI</b> (very large scale integration). Now we are beginning to see the emergence of the 5<sup>th</sup> generation, <b>ULSI</b> (ultra large scale integration). Further miniaturization is still to come and more revolutionary advances in applications of this technology must inevitably occur.</div><div class="MsoNormal"><br />
</div><div class="MsoNormal"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><b><span style="font-size: 14.0pt; line-height: 150%;">2.2. Design<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"> <span style="font-size: 4.0pt; line-height: 150%;"><o:p></o:p></span></div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">Two approaches to <b>VLSI</b> IC design are philosophically identifiable. In the first called a <b>BOTTOM-UP</b> design as illustrated in fig.2.1, the designers starts at the transistor or at gate level and designs sub-circuits of increasing complexity, which are then interconnected to realize the required functionality. In the second, termed the <b>TOP-DOWN</b> design methodology illustrated in fig.2.2, the designer repeatedly decomposes the system-level specifications into groups and sub groups of similar tasks. The lowest level tasks are ultimately implemented in silicon, either with standard circuits that have been previously designed and tested (often termed standard cells) or with low-level circuits designed to meet the required specifications.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"> Several trends in the production of VLSI circuits are readily identifiable. The most visible is the continual shrinking of the minimum geometrical feature size. The rate at which the minimum feature size decreases is slowing. This slowing is partially attributable to inherent physical limitation in the photolithographic process and the rapidly increasing costs associated with very resolution processing equipment.</div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgR9TDPgae4S04cPvZ_WO8W_8qAmLmzUMJOnYumWlF2PU8EYZcp8YVAZOIptDuvzEJo12_NMEiAHVxUi0QZXYufXllUa_eguPds_Qgy5wbLocdPfHKNbtglW5E1woIf_Bczy0-yLV-wr_6n/s1600/VHDL+PROJECT.JPG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgR9TDPgae4S04cPvZ_WO8W_8qAmLmzUMJOnYumWlF2PU8EYZcp8YVAZOIptDuvzEJo12_NMEiAHVxUi0QZXYufXllUa_eguPds_Qgy5wbLocdPfHKNbtglW5E1woIf_Bczy0-yLV-wr_6n/s1600/VHDL+PROJECT.JPG" /></a></div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><br />
<div style="line-height: 150%;"></div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">A trend in increasing speed in digital circuits is readily identifiable. Some research efforts with GaAs suggest that this material may ultimately supplement silicon at very high frequencies. GaAs is attractive because of higher electron mobility and because of reduced sensitivity to radiation. The increase in speed and increase in complexity and direct result fo the reduction in feature size.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"> A third trend is the increasing complexity of the circuit functiohn and device count on a die. The trend is crucial for the development of new markets for ICs.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"> A forth trend is towards increasing productivity and an ever growing dependence on the computer in the design process.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"> A fifth trend is the continuous shift of where design, production and markets are geographically located.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"> A sixth trend is a growing coupling of a specific process and its processing equipment. As feature sizes shrink and processes becomes more complex, the process is becoming increasingly dependent on the performance of specific pieces of equipment.</div><div class="MsoNormal"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><b><span style="font-size: 14.0pt; line-height: 150%;">2.3. Significance of HDL in VLSI design<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">Programming languages such as FORTRAN, PASCAL and C were being used to describe computer programs that were sequential in nature. In digital design fields Hardware Description Languages (HDLs) came into existence. HDLs allowed the designers to model the concurrency of process found in hardware elements. HDLs such as Verilog HDL and VHDL became popular. Both Verilog and VHDL simulators to simulate large digital circuits quickly gained acceptance.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"> Even though HDLs were popular for logic verification, designers had to translate the HDL-based design into a schematic circuit with interconnection between gates. The advent of logic synthesis in the design, digital circuits could be described at a Register Transfer Level (RTL) by use of a HDL. Thus the designer had to specify how the data flows between registers and how the design processes the data. Logic synthesis tools from the RTL-description automatically extracted the details of gates and their interconnections to implement the circuit.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"> Designers no longer had to manually place gates to build digital circuits. They could describe complex circuits at an abstract level in terms of functionally and dataflow by designing those circuits in HDLs. Logic synthesis tools would implement the specified functionally in terms of gates and gate interconnections. HDLs also began to be used for system level design. HDLs were used for simulation of system boards, interconnects buses, FPGA s and PLA s.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;">HDLs have many advantages compared to traditional schematic based design.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; margin-left: .5in; mso-list: l0 level1 lfo1; tab-stops: list .5in; text-align: justify; text-indent: -.25in;"><!--[if !supportLists]--><b>a)<span style="font-size: 7pt; font-weight: normal; line-height: normal;"> </span></b><!--[endif]-->Designs can be described at a very high abstract level by the use of HDLs. Designers can write their RTL description without choosing a specific fabrication technology. Logic synthesis tools can automatically convert the design to any fabrication technology. If a new technology emerges, designers do not need to redesign the circuit. They simply input the RTL description to the logic synthesis tool and create new gate-level net list, using the new fabrication technology.</div><div class="MsoNormal" style="line-height: 150%; margin-left: .5in; mso-list: l0 level1 lfo1; tab-stops: list .5in; text-align: justify; text-indent: -.25in;"><!--[if !supportLists]--><b>b)<span style="font-size: 7pt; font-weight: normal; line-height: normal;"> </span></b><!--[endif]-->The logic synthesis tool will optimize the circuit in area and timing for the new technology.</div><div class="MsoNormal" style="line-height: 150%; margin-left: .5in; mso-list: l0 level1 lfo1; tab-stops: list .5in; text-align: justify; text-indent: -.25in;"><!--[if !supportLists]--><b>c)<span style="font-size: 7pt; font-weight: normal; line-height: normal;"> </span></b><!--[endif]-->By describing designs in HDLs, functional verification of the design can be done early in the design cycle. Since designers work at the RTL level, they can optimize and modify the RTL description until it meets the desired functionality. Most design bugs are eliminated at this point. This cuts down design cycle time significantly because the probability of hitting a functional bug at a later time in the gate-level net list or physical layout is minimized.</div><div class="MsoNormal" style="line-height: 150%; margin-left: .5in; mso-list: l0 level1 lfo1; tab-stops: list .5in; text-align: justify; text-indent: -.25in;"><!--[if !supportLists]--><b>d)<span style="font-size: 7pt; font-weight: normal; line-height: normal;"> </span></b><!--[endif]-->Designing with HDLs is analogous to computer programming. A textual description with comments is an easier way to develop and debug circuits. This also provides a concise representation of the design, compared to gate level schematics. Gate level schematics are almost incomprehensible of very complex designs.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">HDLs are most certainly a trend of the future. With rapidly increasing complexities of digital circuits and increasingly sophisticated CAD tools, HDLs will probably be the only method for large digital designs. No digital circuit designer can afford to ignore HDL-based design.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%;">2.4. VHDL<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><b>2.4.1. Introduction to VHDL<o:p></o:p></b></div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><b>VHDL</b> stands for “<b>VHSIC Hardware Description Language</b>.” <b>VHSIC</b>, in turn stands for “<b>Very High Speed Integrated Circuit</b>”. The word 'hardware', however, is used in a wide variety of contexts which range from complete systems like personal computers on one side to the small logical gates on their internal integrated circuits on the other side.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">This is why different descriptions exist for the hardware functionality. Complex systems are often described by the behavior that is observable from the outside. The description of a basic logic gate, on the other hand, may consist of only one Boolean equation. This is a very short and precise description. The language VHDL covers the complete range of applications and can be used to model (digital) hardware in a general way.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">Hardware Description Language (HDL) = "Programming"-language for modeling of (digital) hardware</div><div class="MsoNormal"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify;"><b>2.4.2. History<o:p></o:p></b></div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">VHDL is a language which is permanently extended and revised. The original standard itself needed more than 16 years from the initial concept to the final, official IEEE standard. When the document passed the committee it was agreed that the standard should be revised every 5 years. The first revision phase resulted in the updated standard of the year1993.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">Independently of this revision agreement, additional effort is made to standardize "extensions" of the pure language reference. These extensions cover for examples packages (std_logic_1164, numeric_bit, numeric_std ...) containing widely needed data types and subprograms, or the definition of special VHDL subsets like the synthesis subset IEEE 1076.6.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">The latest extension is the addition of analogue description mechanisms to the standard which results in a VHDL superset called VHDL-AMS.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">VHDL development was initiated originally from the American Department of Defense (DoD). They requested a language for describing a hardware, which had to be readable for machines and humans at the same time and strictly forces the developer to write structured and comprehensible code, so that the source code itself can serve as a kind of specification document. Most important was the concept of concurrency to cope with the parallelism of digital hardware. Sequential statements to model very complex functions in a compact form were also allowed.<br />
<span style="font-size: 2.0pt; line-height: 150%;"><o:p></o:p></span></div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">In 1987, VHDL was standardized by the American Institute of Electrical and Electronics Engineers (IEEE) for the first time with the first official update in 1993. Apart from the file handling procedures these two versions of the standard are compatible. The standard of the language is described in the Language Reference Manual (LRM).</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">A new and difficult stage was entered with the effort to upgrade VHDL with analogue and mixed-signal language elements. The upgrade is called VHDL-AMS (<b>a</b>nalogue-<b> m</b>ixed-<b> s</b>ignal) and it is a superset of VHDL. The digital mechanisms and methods have not been altered by the extension. </div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;">For the time being, only simulation is feasible for the analogue part because analogue synthesis is a very complex problem affected by many boundary conditions. The mixed signal simulation has to deal with the problem of synchronizing the digital- and analogue simulators, which has not been solved adequately.</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-align: justify; text-indent: .5in;"></div><div class="MsoNormal" style="line-height: 150%;"><b>2.4.3. Features of VHDL<o:p></o:p></b></div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-indent: 0.25in;">The VHDL Hardware Description Language has the following features</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><ul style="margin-top: 0in;" type="disc"><li class="MsoNormal" style="line-height: 150%; mso-list: l0 level1 lfo1; tab-stops: list .5in; text-align: justify;">Designs may be decomposed hierarchically.</li>
<li class="MsoNormal" style="line-height: 150%; mso-list: l0 level1 lfo1; tab-stops: list .5in; text-align: justify;">Each Design element has both a well-defined interface (for connecting it to other elements) and a precise behavioral specification (for simulating it).</li>
<li class="MsoNormal" style="line-height: 150%; mso-list: l0 level1 lfo1; tab-stops: list .5in; text-align: justify;">Behavioral specifications can use either an algorithm or an actual hardware structure to define an element’s operation. For example, an element can be defined initially by an algorithm, to allow design verification of higher level elements that use it; later, the algorithmic definition can be replaced by a hardware structure.</li>
<li class="MsoNormal" style="line-height: 150%; mso-list: l0 level1 lfo1; tab-stops: list .5in; text-align: justify;">Concurrency, timing, and clocking can all be modeled. VHDL handles asynchronous as well as synchronous sequential-circuit structures.</li>
<li class="MsoNormal" style="line-height: 150%; mso-list: l0 level1 lfo1; tab-stops: list .5in; text-align: justify;">The logical operation and timing behavior of a design can be simulated.</li>
</ul><div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><b><span lang="EN">Ethernet</span></b><span lang="EN"> is a family of <a href="http://en.wikipedia.org/wiki/Data_frame" title="Data frame">frame</a>-based <a href="http://en.wikipedia.org/wiki/Computer_network" title="Computer network">computer networking</a> technologies for <a href="http://en.wikipedia.org/wiki/Local_area_network" title="Local area network">local area networks</a> (LANs). The name comes from the physical concept of the <a href="http://en.wikipedia.org/wiki/Luminiferous_aether" title="Luminiferous aether">ether</a>. It defines a number of wiring and signaling standards for the <a href="http://en.wikipedia.org/wiki/Physical_Layer" title="Physical Layer">Physical Layer</a> of the <a href="http://en.wikipedia.org/wiki/OSI_model" title="OSI model">OSI</a> networking model, through means of network access at the <a href="http://en.wikipedia.org/wiki/Media_Access_Control" title="Media Access Control">Media Access Control</a> (MAC) /<a href="http://en.wikipedia.org/wiki/Data_Link_Layer" title="Data Link Layer">Data Link Layer</a>, and a common addressing format.<o:p></o:p></span></div><div class="MsoNormal" style="line-height: 150%; text-indent: 0.5in;">Ethernet is based on the idea that a network between a group of computers should behave as a shared medium. Only one host can transmit data at a time, but more than one host may attempt to transmit data at the same time. If a host wishes to transmit data, it must wait until it detects that the medium is not in use. If two hosts transmit at the same time, a collision occurs and both hosts must stop transmission and try to resend their data after an arbitrary waiting period. This technique is a primary feature of Ethernet and is called carrier sense, multiple access with collision detect (CSMA/CD).</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-indent: 0.5in;">The function of the network repeater is to create the logical equivalent of a shared medium. Each host on the network connects to a common repeater through a segment of twisted pair coaxial cable. The repeater logically joins cable segments to create a larger network. They improve reliability and performance because they isolate hosts with faulty connections and prevent them from disrupting the rest of the network. Ethernet conforms to the IEEE 802.3 standard concerning network media, physical interfaces, signaling, and network access protocol.</div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Ethernet is standardized as <a href="http://en.wikipedia.org/wiki/IEEE_802.3" title="IEEE 802.3">IEEE 802.3</a>. The combination of the <a href="http://en.wikipedia.org/wiki/Ethernet_over_twisted_pair" title="Ethernet over twisted pair">twisted pair versions of Ethernet</a> for connecting end systems to the network, along with the <a href="http://en.wikipedia.org/wiki/Optical_fiber" title="Optical fiber">fiber optic versions</a> for site backbones, is the most widespread wired LAN technology. It has been in use from around 1980<sup><a href="http://en.wikipedia.org/wiki/Ethernet#cite_note-0" title=""><span style="color: windowtext; text-decoration: none; text-underline: none;">[1]</span></a></sup> to the present, largely replacing competing LAN standards such as <a href="http://en.wikipedia.org/wiki/Token_ring" title="Token ring">token ring</a>, <a href="http://en.wikipedia.org/wiki/Fiber_distributed_data_interface" title="Fiber distributed data interface">FDDI</a>, and <a href="http://en.wikipedia.org/wiki/ARCNET" title="ARCNET">ARCNET</a>.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Ethernet was originally developed at <a href="http://en.wikipedia.org/wiki/Xerox_PARC" title="Xerox PARC">Xerox PARC</a> in 1973–1975. In 1975, Xerox filed a patent application listing <a href="http://en.wikipedia.org/wiki/Robert_Metcalfe" title="Robert Metcalfe">Robert Metcalfe</a> and <a href="http://en.wikipedia.org/wiki/David_Boggs" title="David Boggs">David Boggs</a>, plus <a href="http://en.wikipedia.org/wiki/Chuck_Thacker" title="Chuck Thacker">Chuck Thacker</a> and <a href="http://en.wikipedia.org/wiki/Butler_Lampson" title="Butler Lampson">Butler Lampson</a>, as inventors (<span class="plainlinks"><a href="http://patft.uspto.gov/netacgi/nph-Parser?patentnumber=4063220" title="http://patft.uspto.gov/netacgi/nph-Parser?patentnumber=4063220">U.S. Patent 4,063,220</a></span><span class="pdflinknoprint"><a href="http://www.pat2pdf.org/pat2pdf/foo.pl?number=4063220" title="http://www.pat2pdf.org/pat2pdf/foo.pl?number=4063220"> </a></span>: Multipoint data communication system with collision detection). In 1976, after the system was deployed at PARC, Metcalfe and Boggs published a seminal paper. <o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">The experimental Ethernet described in that paper ran at 3 <a href="http://en.wikipedia.org/wiki/Mbit/s" title="Mbit/s">Mbit/s</a>, and had 8-bit destination and source address fields, so the original Ethernet addresses were not the <a href="http://en.wikipedia.org/wiki/Media_Access_Control" title="Media Access Control">MAC</a> addresses they are today. By software convention, the 16 bits after the destination and source address fields were a packet type field, but, as the paper says, "different protocols use disjoint sets of packet types", so those were packet types within a given protocol, rather than the packet type in current Ethernet which specifies the protocol being used.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Metcalfe left Xerox in 1979 to promote the use of personal computers and local area networks (LANs), forming <a href="http://en.wikipedia.org/wiki/3Com" title="3Com">3Com</a>. He convinced <a href="http://en.wikipedia.org/wiki/Digital_Equipment_Corporation" title="Digital Equipment Corporation">DEC</a>, <a href="http://en.wikipedia.org/wiki/Intel" title="Intel">Intel</a>, and <a href="http://en.wikipedia.org/wiki/Xerox" title="Xerox">Xerox</a> to work together to promote Ethernet as a standard, the so-called "DIX" standard, for "Digital/Intel/Xerox"; it standardized the 10 megabits/second Ethernet, with 48-bit destination and source addresses and a global 16-bit type field. The standard was first published on <a href="http://en.wikipedia.org/wiki/September_30" title="September 30">September 30</a>, <a href="http://en.wikipedia.org/wiki/1980" title="1980">1980</a>. It competed with two largely proprietary systems, <a href="http://en.wikipedia.org/wiki/Token_ring" title="Token ring">token ring</a> and <a href="http://en.wikipedia.org/wiki/ARCNET" title="ARCNET">ARCNET</a>, but those soon found themselves buried under a tidal wave of Ethernet products. In the process, <a href="http://en.wikipedia.org/wiki/3Com" title="3Com">3Com</a> became a major company.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN"><br />
</span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><b><span lang="EN" style="font-size: 14.0pt; line-height: 150%; mso-ansi-language: EN; mso-bidi-font-size: 12.0pt;">3.1. Description<o:p></o:p></span></b></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><b><u><span lang="EN" style="font-size: 14.0pt; line-height: 150%; mso-ansi-language: EN; mso-bidi-font-size: 12.0pt;"><a href="http://en.wikipedia.org/wiki/Twisted-pair_Ethernet" title="Twisted-pair Ethernet"><span style="font-size: 12.0pt; font-weight: normal; line-height: 150%;">Twisted-pair Ethernet</span></a></span></u></b><span lang="EN"> systems have been developed since the mid-80s, beginning with <a href="http://en.wikipedia.org/wiki/StarLAN" title="StarLAN">StarLAN</a>, but becoming widely known with <a href="http://en.wikipedia.org/wiki/Ethernet_over_twisted_pair" title="Ethernet over twisted pair">10BASE-T</a>. These systems replaced the <a href="http://en.wikipedia.org/wiki/Coaxial_cable" title="Coaxial cable">coaxial cable</a> on which early Ethernets were deployed with a system of hubs linked with unshielded <a href="http://en.wikipedia.org/wiki/Twisted_pair" title="Twisted pair">twisted pair</a> (UTP), ultimately replacing the <a href="http://en.wikipedia.org/wiki/CSMA/CD" title="CSMA/CD">CSMA/CD</a> scheme in favor of a switched <a href="http://en.wikipedia.org/wiki/Duplex_(telecommunications)#Full-Duplex" title="Duplex (telecommunications)">full duplex</a> system offering higher performance.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Ethernet was originally based on the idea of computers communicating over a shared coaxial cable acting as a broadcast transmission medium. The methods used show some similarities to radio systems, although there are fundamental differences, such as the fact that it is much easier to detect collisions in a cable broadcast system than a radio broadcast. The common cable providing the communication channel was likened to the <a href="http://en.wikipedia.org/wiki/Aether" title="Aether">ether</a> and it was from this reference that the name "Ethernet" was derived.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">From this early and comparatively simple concept, Ethernet evolved into the complex networking technology that today underlies most LANs. The coaxial cable was replaced with point-to-point links connected by Ethernet <a href="http://en.wikipedia.org/wiki/Network_hub" title="Network hub">hubs</a> and/or <a href="http://en.wikipedia.org/wiki/Network_switch" title="Network switch">switches</a> to reduce installation costs, increase reliability, and enable point-to-point management and troubleshooting. StarLAN was the first step in the evolution of Ethernet from a coaxial cable bus to a hub-managed, twisted-pair network. The advent of twisted-pair wiring dramatically lowered installation costs relative to competing technologies, including the older Ethernet technologies.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN"><br />
</span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Above the physical layer, Ethernet stations communicate by sending each other data packets, blocks of data that are individually sent and delivered. As with other <a href="http://en.wikipedia.org/wiki/IEEE_802" title="IEEE 802">IEEE 802</a> LANs, each Ethernet station is given a single 48-bit <a href="http://en.wikipedia.org/wiki/MAC_address" title="MAC address">MAC address</a>, which is used both to specify the destination and the source of each data packet. Network interface cards (NICs) or chips normally do not accept packets addressed to other Ethernet stations. Adapters generally come programmed with a globally unique address, but this can be overridden, either to avoid an address change when an adapter is replaced, or to use locally administered addresses.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Despite the significant changes in Ethernet from a <a href="http://en.wikipedia.org/wiki/10BASE5" title="10BASE5">thick coaxial cable bus</a> running at 10 <a href="http://en.wikipedia.org/wiki/Mbit/s" title="Mbit/s">Mbit/s</a> to point-to-point links <a href="http://en.wikipedia.org/wiki/Gigabit_Ethernet" title="Gigabit Ethernet">running at 1 Gbit/s</a> <a href="http://en.wikipedia.org/wiki/10_gigabit_Ethernet" title="10 gigabit Ethernet">and beyond</a>, all generations of Ethernet (excluding early experimental versions) share the same frame formats (and hence the same interface for higher layers), and can be readily interconnected.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Due to the ubiquity of Ethernet, the ever-decreasing cost of the hardware needed to support it, and the reduced panel space needed by <a href="http://en.wikipedia.org/wiki/Twisted_pair" title="Twisted pair">twisted pair</a> Ethernet, most manufacturers now build the functionality of an Ethernet card directly into <a href="http://en.wikipedia.org/wiki/PC_motherboard" title="PC motherboard">PC motherboards</a>, obviating the need for installation of a separate network card.<o:p></o:p></span></div><h3 style="background: #F8FCFF; line-height: 150%;"><span class="mw-headline"><span lang="EN" style="font-size: 14.0pt; line-height: 150%; mso-ansi-language: EN;">CSMA/CD shared medium Ethernet</span></span><span lang="EN" style="font-size: 14.0pt; line-height: 150%; mso-ansi-language: EN;"><o:p></o:p></span></h3><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Ethernet originally used a shared <a href="http://en.wikipedia.org/wiki/Coaxial_cable" title="Coaxial cable">coaxial cable</a> (the shared medium) winding around a building or campus to every attached machine. A scheme known as <a href="http://en.wikipedia.org/wiki/Carrier_sense_multiple_access_with_collision_detection" title="Carrier sense multiple access with collision detection">carrier sense multiple access with collision detection</a> (CSMA/CD) governed the way the computers shared the channel. This scheme was simpler than the competing <a href="http://en.wikipedia.org/wiki/Token_ring" title="Token ring">token ring</a> or <a href="http://en.wikipedia.org/wiki/Token_bus" title="Token bus">token bus</a> technologies. When a computer wanted to send some information, it used the following <a href="http://en.wikipedia.org/wiki/Algorithm" title="Algorithm">algorithm</a>.<o:p></o:p></span></div><h4 style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><a href="http://www.blogger.com/post-create.g?blogID=452521486547570771" name="Main_procedure"></a><span class="mw-headline"><span lang="EN">Main procedure</span></span><span lang="EN"><o:p></o:p></span></h4><ol start="1" type="1"><li class="MsoNormal" style="background: #F8FCFF; line-height: 150%; mso-list: l0 level1 lfo1; mso-margin-bottom-alt: auto; mso-margin-top-alt: auto; tab-stops: list .5in; text-align: justify;"><span lang="EN">Frame ready for transmission. <o:p></o:p></span></li>
<li class="MsoNormal" style="background: #F8FCFF; line-height: 150%; mso-list: l0 level1 lfo1; mso-margin-bottom-alt: auto; mso-margin-top-alt: auto; tab-stops: list .5in; text-align: justify;"><span lang="EN">Is medium idle? If not, wait until it becomes ready and wait the <a href="http://en.wikipedia.org/wiki/Interframe_gap" title="Interframe gap">interframe gap</a> period (9.6 µs in 10 Mbit/s Ethernet). <o:p></o:p></span></li>
<li class="MsoNormal" style="background: #F8FCFF; line-height: 150%; mso-list: l0 level1 lfo1; mso-margin-bottom-alt: auto; mso-margin-top-alt: auto; tab-stops: list .5in; text-align: justify;"><span lang="EN">Start transmitting. <o:p></o:p></span></li>
<li class="MsoNormal" style="background: #F8FCFF; line-height: 150%; mso-list: l0 level1 lfo1; mso-margin-bottom-alt: auto; mso-margin-top-alt: auto; tab-stops: list .5in; text-align: justify;"><span lang="EN">Did a collision occur? If so, go to collision detected procedure. <o:p></o:p></span></li>
<li class="MsoNormal" style="background: #F8FCFF; line-height: 150%; mso-list: l0 level1 lfo1; mso-margin-bottom-alt: auto; mso-margin-top-alt: auto; tab-stops: list .5in; text-align: justify;"><span lang="EN">Reset retransmission counters and end frame transmission.</span></li>
</ol><h4 style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><span class="mw-headline"><span lang="EN">Collision detected procedure</span></span><span lang="EN"><o:p></o:p></span></h4><ol start="1" type="1"><li class="MsoNormal" style="background: #F8FCFF; line-height: 150%; mso-list: l1 level1 lfo2; mso-margin-bottom-alt: auto; mso-margin-top-alt: auto; tab-stops: list .5in; text-align: justify;"><span lang="EN">Continue transmission until minimum packet time is reached (jam signal) to ensure that all receivers detect the collision. <o:p></o:p></span></li>
<li class="MsoNormal" style="background: #F8FCFF; line-height: 150%; mso-list: l1 level1 lfo2; mso-margin-bottom-alt: auto; mso-margin-top-alt: auto; tab-stops: list .5in; text-align: justify;"><span lang="EN">Increment retransmission counter. <o:p></o:p></span></li>
<li class="MsoNormal" style="background: #F8FCFF; line-height: 150%; mso-list: l1 level1 lfo2; mso-margin-bottom-alt: auto; mso-margin-top-alt: auto; tab-stops: list .5in; text-align: justify;"><span lang="EN">Was the maximum number of transmission attempts reached? If so, abort transmission. <o:p></o:p></span></li>
<li class="MsoNormal" style="background: #F8FCFF; line-height: 150%; mso-list: l1 level1 lfo2; mso-margin-bottom-alt: auto; mso-margin-top-alt: auto; tab-stops: list .5in; text-align: justify;"><span lang="EN">Calculate and wait random back off period based on number of collision <o:p></o:p></span></li>
<li class="MsoNormal" style="background: #F8FCFF; line-height: 150%; mso-list: l1 level1 lfo2; mso-margin-bottom-alt: auto; mso-margin-top-alt: auto; tab-stops: list .5in; text-align: justify;"><span lang="EN">Re-enter main procedure at stage 1. <o:p></o:p></span></li>
</ol><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">This can be likened to what happens at a dinner party, where all the guests talk to each other through a common medium (the air). Before speaking, each guest politely waits for the current speaker to finish. If two guests start speaking at the same time, both stop and wait for short, random periods of time (in Ethernet, this time is generally measured in microseconds). The hope is that by each choosing a random period of time, both guests will not choose the same time to try to speak again, thus avoiding another collision. <a href="http://en.wikipedia.org/wiki/Exponential_growth" title="Exponential growth">Exponentially increasing</a> back-off times (determined using the <a href="http://en.wikipedia.org/wiki/Truncated_binary_exponential_backoff" title="Truncated binary exponential backoff">truncated binary exponential backoff</a> algorithm) are used when there is more than one failed attempt to transmit.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN"><br />
</span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Computers were connected to an <a href="http://en.wikipedia.org/wiki/Attachment_Unit_Interface" title="Attachment Unit Interface">Attachment Unit Interface</a> (AUI) <a href="http://en.wikipedia.org/wiki/Transceiver" title="Transceiver">transceiver</a>, which was in turn connected to the cable (later with <a href="http://en.wikipedia.org/wiki/Thin_Ethernet" title="Thin Ethernet">thin Ethernet</a> the transceiver was integrated into the network adapter). While a simple passive wire was highly reliable for small Ethernets, it was not reliable for large extended networks, where damage to the wire in a single place, or a single bad connector, could make the whole Ethernet segment unusable. Multipoint systems are also prone to very strange failure modes when an electrical discontinuity reflects the signal in such a manner that some nodes would work properly while others work slowly because of excessive retries or not at all (see <a href="http://en.wikipedia.org/wiki/Standing_wave" title="Standing wave">standing wave</a> for an explanation of why); these could be much more painful to diagnose than a complete failure of the segment. Debugging such failures often involved several people crawling around wiggling connectors while others watched the displays of computers running a <i><a href="http://en.wikipedia.org/wiki/Ping" title="Ping">ping</a></i> command and shouted out reports as performance changed.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Since all communications happen on the same wire, any information sent by one computer is received by all, even if that information is intended for just one destination. The network interface card interrupts the <a href="http://en.wikipedia.org/wiki/Central_processing_unit" title="Central processing unit">CPU</a> only when applicable packets are received: the card ignores information not addressed to it unless it is put into "<a href="http://en.wikipedia.org/wiki/Promiscuous_mode" title="Promiscuous mode">promiscuous mode</a>". This "one speaks, all listen" property is a security weakness of shared-medium Ethernet, since a node on an Ethernet network can eavesdrop on all traffic on the wire if it so chooses. Use of a single cable also means that the bandwidth is shared, so that network traffic can slow to a crawl when, for example, the network and nodes restart after a power failure.<o:p></o:p></span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN"><br />
</span></div><div style="background-color: #f8fcff; background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN"></span></div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">3.3. Data Frame Structure<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">The frame format is given as follows</div><div align="center" class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-align: center; text-autospace: none;"><b>Ethernet AMC data frame<o:p></o:p></b></div><div class="MsoNormal" style="line-height: 150%;">• <b>Preamble </b>- used by the receiving hosts to detect the presence of a carrier and initiate clock recovery (7 bytes, equal to " 1010..." for PLL synchronization)</div><div class="MsoNormal" style="line-height: 150%;">• <b>Start of frame delimiter (SFD) </b>- indicates to the receiving hosts that the next group of bits is the actual data to be transmitted (1 byte)</div><div class="MsoNormal" style="line-height: 150%;">• <b>Destination address </b>- 48-bit address that uniquely identifies which host on the network</div><div class="MsoNormal" style="line-height: 150%;">should receive the frame. A host address is created by taking the 24-bit organizationally</div><div class="MsoNormal" style="line-height: 150%;">unique identifier (OUI) assigned to each organization. The remaining 24 bits are determined internally by network administrators.</div><div class="MsoNormal" style="line-height: 150%;">• <b>Source address </b>- a 48-bit address that uniquely identifies which host is sending the frame.</div><div class="MsoNormal" style="line-height: 150%;">• <b>Length </b>- a 2-byte field that determines how many bytes of data are in the data field.</div><div class="MsoNormal" style="line-height: 150%;">• <b>Data </b>- the minimum data field size is 46 bytes. If fewer than 46 bytes of data need to be sent, additional (pad) characters are added to the end of the data field. The maximum data field size is 1,500 bytes.</div><div class="MsoNormal" style="line-height: 150%;">• <b>Frame check sequence (FCS) </b>- the FCS is a 32-bit cyclic redundancy check (CRC) computed from a standard CRC polynomial. The receiving host computes a CRC from the bits it receives and compares the value to the FCS imbedded in the frame to see whether the data was received error free.</div><div class="MsoNormal" style="line-height: 150%; text-indent: 0.5in;">In order to transmit 100 Mb/s over 4 pairs, the frequency of operation must be 25 MHz. The repeater does not check the frame size of frames sent by nodes. It only retransmits the data.</div><div style="line-height: 150%;"><b>Protocol<o:p></o:p></b></div><div class="MsoNormal" style="line-height: 150%; text-indent: 0.5in;">When the carrier sense (<b>crs</b>) signal is received from any port, the repeater must buffer the incoming data frame and retransmit the frame to all other functional ports if the following conditions hold true:</div><div class="MsoNormal" style="line-height: 150%;">• there is not a collision</div><div class="MsoNormal" style="line-height: 150%;">• the port is not jabbering</div><div class="MsoNormal" style="line-height: 150%;">• the port is not partitioned</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-indent: 0.5in;">A collision occurs when more than one carrier sense becomes active. In the case of a collision, a <b>jam </b>symbol must be generated and transmitted to all ports, including the one previously sending data. The ports that caused the collision will then wait for an arbitrary length of time before attempting to resend data across the network. A port is jabbering if it continually transmits data for 40,000 to 75,000 bit times. If a port is jabbering, the repeater will stop receiving data from this port by inhibiting <b>rx_en</b>. This will free up the network for other ports to send data. The port will be considered to have ceased jabbering after carrier sense is deasserted.</div><div class="MsoNormal" style="line-height: 150%; text-indent: 0.5in;">A port is partitioned from the network if it causes 60 or more consecutive collisions. This action is necessary because continued collisions will bring all network communication to a halt. A large number of consecutive collisions can often be attributed to a broken cable or faulty connection. A partitioned port is reconnected if activity on another port occurs for 450 to 560 bit times without the assertion of carrier sense from the partitioned port.</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-indent: 0.5in;">If carrier sense is not asserted by any of the ports, then <b>idle </b>symbols are generated and transmitted on all ports. If <b>rx_er </b>is asserted by a receiving port while the repeater is retransmitting data to the other ports, then <b>bad </b>symbols are generated and transmitted to all other ports until <b>crs </b>is deasserted or there is a collision. To summarize, the repeater receives data from one port and transmits to all other ports. The repeater detects collisions, activity, and errors, and generates the appropriate symbols under these conditions. It also detects jabbering and partition conditions, and asserts <b>tx_en </b>(transmit enable) and <b>rx_en </b>(receive enable) when appropriate.</div><h3 style="background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><span class="mw-headline"><span lang="EN" style="font-size: 14.0pt; line-height: 150%; mso-ansi-language: EN;">3.4. Repeaters and hubs</span></span><span lang="EN" style="font-size: 14.0pt; line-height: 150%; mso-ansi-language: EN;"><o:p></o:p></span></h3><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">For signal degradation and timing reasons, coaxial <a href="http://en.wikipedia.org/wiki/Ethernet_segment" title="Ethernet segment">Ethernet segments</a> had a restricted size which depended on the medium used. For example, 10BASE5 coax cables had a maximum length of 500 meters (1,640 ft). Also, as was the case with most other high-speed buses, Ethernet segments had to be terminated with a <a href="http://en.wikipedia.org/wiki/Resistor" title="Resistor">resistor</a> at each end. For coaxial-cable-based Ethernet, each end of the cable had a 50-<a href="http://en.wikipedia.org/wiki/Ohm_(unit)" title="Ohm (unit)">ohm</a> resistor attached. Typically this resistor was built into a male <a href="http://en.wikipedia.org/wiki/BNC_connector" title="BNC connector">BNC</a> or <a href="http://en.wikipedia.org/wiki/N_connector" title="N connector">N connector</a> and attached to the last device on the bus, or, if <a href="http://en.wikipedia.org/wiki/Vampire_tap" title="Vampire tap">vampire taps</a> were in use, to the end of the cable just past the last device. If termination was not done, or if there was a break in the cable, the <a href="http://en.wikipedia.org/wiki/Alternating_current" title="Alternating current">AC</a> signal on the bus was reflected, rather than dissipated, when it reached the end. This reflected signal was indistinguishable from a collision, and so no communication would be able to take place.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">A greater length could be obtained by an Ethernet <a href="http://en.wikipedia.org/wiki/Repeater" title="Repeater">repeater</a>, which took the signal from one Ethernet cable and repeated it onto another cable. If a collision was detected, the repeater transmitted a <a href="http://en.wikipedia.org/wiki/Jam_signal" title="Jam signal">jam signal</a> onto all ports to ensure collision detection. Repeaters could be used to connect segments such that there were up to five Ethernet segments between any two hosts, three of which could have attached devices. Repeaters could detect an improperly terminated link from the continuous collisions and stop forwarding data from it. Hence they alleviated the problem of cable breakages: when an Ethernet coax segment broke, while all devices on that segment were unable to communicate, repeaters allowed the other segments to continue working - although depending on which segment was broken and the layout of the network the partitioning that resulted may have made other segments unable to reach important servers and thus effectively useless.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">People recognized the advantages of cabling in a <a href="http://en.wikipedia.org/wiki/Star_topology" title="Star topology">star topology</a>, primarily that only faults at the star point will result in a badly partitioned network, and network vendors started creating <a href="http://en.wikipedia.org/wiki/Multiport_repeater" title="Multiport repeater">repeaters having multiple ports</a>, thus reducing the number of repeaters required at the star point. Multiport Ethernet repeaters became known as "Ethernet <a href="http://en.wikipedia.org/wiki/Network_hub" title="Network hub">hubs</a>". Network vendors such as DEC and <a href="http://en.wikipedia.org/wiki/SynOptics" title="SynOptics">SynOptics</a> sold hubs that connected many <a href="http://en.wikipedia.org/wiki/10BASE2" title="10BASE2">10BASE2</a> thin coaxial segments. There were also "multi-port transceivers" or "fan-outs". These could be connected to each other and/or a coax backbone. The best-known early example was <a href="http://en.wikipedia.org/wiki/Digital_Equipment_Corporation" title="Digital Equipment Corporation">DEC</a>'s <a href="http://en.wikipedia.org/w/index.php?title=Digital_Ethernet_Local_Network_Interconnect&action=edit&redlink=1" title="Digital Ethernet Local Network Interconnect (page does not exist)">DELNI</a>. These devices allowed multiple hosts with AUI connections to share a single transceiver. They also allowed creation of a small standalone Ethernet segment without using a coaxial cable. A <a href="http://en.wikipedia.org/wiki/Twisted_pair" title="Twisted pair">twisted pair</a> CAT-3 or CAT-5 cable is used to connect 10BASE-T Ethernet<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/Ethernet_over_twisted_pair" title="Ethernet over twisted pair">Ethernet on unshielded twisted-pair cables</a> (UTP), beginning with <a href="http://en.wikipedia.org/wiki/StarLAN" title="StarLAN">StarLAN</a> and continuing with <a href="http://en.wikipedia.org/wiki/10BASE-T" title="10BASE-T">10BASE-T</a>, was designed for point-to-point links only and all termination was built into the device. This changed hubs from a specialist device used at the center of large networks to a device that every twisted pair-based network with more than two machines had to use. The tree structure that resulted from this made Ethernet networks more reliable by preventing faults with (but not deliberate misbehavior of) one peer or its associated cable from affecting other devices on the network, although a failure of a hub or an inter-hub link could still affect lots of users. Also, since twisted pair Ethernet is point-to-point and terminated inside the hardware, the total empty panel space required around a port is much reduced, making it easier to design hubs with lots of ports and to integrate Ethernet onto computer motherboards.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Despite the physical star topology, hubbed Ethernet networks still use half-duplex and CSMA/CD, with only minimal activity by the hub, primarily the Collision Enforcement signal, in dealing with packet collisions. Every packet is sent to every port on the hub, so bandwidth and security problems aren't addressed. The total throughput of the hub is limited to that of a single link and all links must operate at the same speed.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Collisions reduce throughput by their very nature. In the worst case, when there are lots of hosts with long cables that attempt to transmit many short frames, excessive collisions can reduce throughput dramatically. However, a <a href="http://en.wikipedia.org/wiki/Xerox" title="Xerox">Xerox</a> report in 1980 summarized the results of having 20 fast nodes attempting to transmit packets of various sizes as quickly as possible on the same Ethernet segment.<sup><a href="http://en.wikipedia.org/wiki/Ethernet#cite_note-3" title=""><span style="color: windowtext; text-decoration: none; text-underline: none;">[4]</span></a></sup> The results showed that, even for the smallest Ethernet frames (64B), 90% throughput on the LAN was the norm. This is in comparison with <a href="http://en.wikipedia.org/wiki/Token_passing" title="Token passing">token passing</a> LANs (token ring, token bus), all of which suffer throughput degradation as each new node comes into the LAN, due to token waits.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">This report was wildly controversial, as modeling showed that collision-based networks became unstable under loads as low as 40% of nominal capacity. Many early researchers failed to understand the subtleties of the CSMA/CD protocol and how important it was to get the details right, and were really modeling somewhat different networks (usually not as good as real Ethernet).<o:p></o:p></span></div><h3 style="background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><span class="mw-headline"><span lang="EN" style="font-size: 14.0pt; line-height: 150%; mso-ansi-language: EN;">3.5. Bridging and switching</span></span><span lang="EN" style="font-size: 14.0pt; line-height: 150%; mso-ansi-language: EN;"><o:p></o:p></span></h3><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">While repeaters could isolate some aspects of <a href="http://en.wikipedia.org/wiki/Ethernet_segment" title="Ethernet segment">Ethernet segments</a>, such as cable breakages, they still forwarded all traffic to all Ethernet devices. This created practical limits on how many machines could communicate on an Ethernet network. Also as the entire network was one collision domain and all hosts had to be able to detect collisions anywhere on the network, and the number of repeaters between the farthest nodes was limited. Finally segments joined by repeaters had to all operate at the same speed, making phased-in upgrades impossible.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">To alleviate these problems, bridging was created to communicate at the data link layer while isolating the physical layer. With bridging, only well-formed packets are forwarded from one Ethernet segment to another; collisions and packet errors are isolated. Bridges learn where devices are, by watching <a href="http://en.wikipedia.org/wiki/MAC_address" title="MAC address">MAC addresses</a>, and do not forward packets across segments when they know the destination address is not located in that direction.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Prior to discovery of network devices on the different segments, Ethernet bridges and switches work somewhat like Ethernet hubs, passing all traffic between segments. However, as the switch discovers the addresses associated with each port, it only forwards network traffic to the necessary segments, improving overall performance. <a href="http://en.wikipedia.org/wiki/Broadcasting_(networks)" title="Broadcasting (networks)">Broadcast</a> traffic is still forwarded to all network segments. Bridges also overcame the limits on total segments between two hosts and allowed the mixing of speeds, both of which became very important with the introduction of <a href="http://en.wikipedia.org/wiki/Fast_Ethernet" title="Fast Ethernet">Fast Ethernet</a>.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Early bridges examined each packet one by one using software on a CPU, and some of them were significantly slower than hubs (multi-port repeaters) at forwarding traffic, especially when handling many ports at the same time. This was in part due to the fact that the entire Ethernet packet would be read into a buffer, the destination address compared with an internal table of known MAC addresses and a decision made as to whether to drop the packet or forward it to another or all segments.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">In 1989 the networking company <a href="http://en.wikipedia.org/wiki/Kalpana_(company)" title="Kalpana (company)">Kalpana</a> introduced their EtherSwitch, the first Ethernet switch. This worked somewhat differently from an Ethernet bridge, in that only the header of the incoming packet would be examined before it was either dropped or forwarded to another segment. This greatly cut down the forwarding latency and the processing load at a stroke, revolutionising Ethernet. One drawback of this <i>cut-through</i> switching method was that packets that had been corrupted at a point beyond the header could still be propagated through the network, so a jabbering station could continue to disrupt the entire network. The remedy for this was to make available <i>store-and-forward</i> switching, where the packet would be read into a buffer on the switch in its entirety, verified against its checksum and then forwarded. This was essentially a return to the orginal approach of bridging, but with the advantage of more powerful, application-specific processors being used. Hence the bridging is then done in hardware, allowing packets to be forwarded at full wire speed. It is important to remember that the term <i>switch</i> was invented by device manufacturers and does not appear in the 802.3 standard.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">Since packets are typically only delivered to the port they are intended for, traffic on a switched Ethernet is slightly less public than on shared-medium Ethernet. Despite this, switched Ethernet should still be regarded as an insecure network technology, because it is easy to subvert switched Ethernet systems by means such as <a href="http://en.wikipedia.org/wiki/ARP_spoofing" title="ARP spoofing">ARP spoofing</a> and <a href="http://en.wikipedia.org/wiki/MAC_flooding" title="MAC flooding">MAC flooding</a>. The bandwidth advantages, the slightly better isolation of devices from each other, the ability to easily mix different speeds of devices and the elimination of the chaining limits inherent in non-switched Ethernet have made switched Ethernet the dominant network technology.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-indent: 0.5in;"><span lang="EN">When a twisted pair or fiber link segment is used and neither end is connected to a hub, <a href="http://en.wikipedia.org/wiki/Full-duplex" title="Full-duplex">full-duplex</a> Ethernet becomes possible over that segment. In full duplex mode both devices can transmit and receive to/from each other at the same time, and there is no collision domain. This doubles the aggregate bandwidth of the link and is sometimes advertised as double the link speed (e.g. 200 Mbit/s) to account for this. However, this is misleading as performance will only double if traffic patterns are symmetrical (which in reality they rarely are). The elimination of the collision domain also means that all the link's bandwidth can be used and that segment length is not limited by the need for correct collision detection (this is most significant with some of the fiber variants of Ethernet).</span></div><h3 style="background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><span class="mw-headline"><span lang="EN">3.6. Fast Ethernet</span></span><span lang="EN"><o:p></o:p></span></h3><ul type="disc"><li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/100BASE-T" title="100BASE-T">100BASE-T</a>: A term for any of the three standard for 100 Mbit/s Ethernet over twisted pair cable. Includes <a href="http://en.wikipedia.org/wiki/100BASE-TX" title="100BASE-TX">100BASE-TX</a>, <a href="http://en.wikipedia.org/wiki/100BASE-T4" title="100BASE-T4">100BASE-T4</a> and <a href="http://en.wikipedia.org/wiki/100BASE-T2" title="100BASE-T2">100BASE-T2</a>. <o:p></o:p></span></li>
<ul type="circle"><li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/100BASE-TX" title="100BASE-TX"><span style="color: windowtext; text-decoration: none; text-underline: none;">100BASE-TX</span></a>: Uses two pairs, but requires Category 5 cable. Similar star-shaped configuration to 10BASE-T. 100 Mbit/s. <o:p></o:p></span></li>
<li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/100BASE-T4" title="100BASE-T4"><span style="color: windowtext; text-decoration: none; text-underline: none;">100BASE-T4</span></a>: 100 Mbit/s Ethernet over Category 3 cabling (as used for 10BASE-T installations). Uses all four pairs in the cable. Now obsolete, as Category 5 cabling is the norm. Limited to half-duplex. <o:p></o:p></span></li>
<li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/100BASE-T2" title="100BASE-T2"><span style="color: windowtext; text-decoration: none; text-underline: none;">100BASE-T2</span></a>: No products exist. 100 Mbit/s Ethernet over Category 3 cabling. Supports full-duplex, and uses only two pairs. It is functionally equivalent to 100BASE-TX, but supports old cable. <o:p></o:p></span></li>
</ul><li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/100BASE-FX" title="100BASE-FX">100BASE-FX</a>: 100 Mbit/s Ethernet over fibre. <o:p></o:p></span></li>
</ul><h3 style="background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><a href="http://www.blogger.com/post-create.g?blogID=452521486547570771" name="Gigabit_Ethernet"></a><span class="mw-headline"><span lang="EN">Gigabit Ethernet</span></span><span lang="EN"><o:p></o:p></span></h3><ul type="disc"><li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/1000BASE-T" title="1000BASE-T">1000BASE-T</a>: 1 Gbit/s over Category 5e copper cabling. <o:p></o:p></span></li>
<li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/1000BASE-SX" title="1000BASE-SX">1000BASE-SX</a>: 1 Gbit/s over fiber. <o:p></o:p></span></li>
<li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/1000BASE-LX" title="1000BASE-LX">1000BASE-LX</a>: 1 Gbit/s over fiber. Optimized for longer distances over single-mode fiber. <o:p></o:p></span></li>
<li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/1000BASE-CX" title="1000BASE-CX">1000BASE-CX</a>: A short-haul solution (up to 25 m) for running 1 Gbit/s Ethernet over special copper cable. Predates 1000BASE-T, and now obsolete. <o:p></o:p></span></li>
</ul><h3 style="background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><a href="http://www.blogger.com/post-create.g?blogID=452521486547570771" name="10-gigabit_Ethernet"></a><span class="mw-headline"><span lang="EN">10-gigabit Ethernet</span></span><span lang="EN"><o:p></o:p></span></h3><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><span lang="EN">The <a href="http://en.wikipedia.org/wiki/10-gigabit_Ethernet" title="10-gigabit Ethernet"><span style="color: windowtext; text-decoration: none; text-underline: none;">10-gigabit Ethernet</span></a> family of standards encompasses media types for single-mode fibre (long haul), multi-mode fibre (up to 300 m), copper backplane (up to 1 m) and copper twisted pair (up to 100 m). It was first standardised as IEEE Std 802.3ae-2002, but is now included in IEEE Std 802.3-2008.<o:p></o:p></span></div><ul type="disc"><li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/10GBASE-SR" title="10GBASE-SR">10GBASE-SR</a>: designed to support short distances over deployed multi-mode fiber cabling, it has a range of between 26 m and 82 m depending on cable type. It also supports 300 m operation over a new 2000 MHz·km multi-mode fiber. <o:p></o:p></span></li>
<li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/10GBASE-LX4" title="10GBASE-LX4">10GBASE-LX4</a>: uses <a href="http://en.wikipedia.org/wiki/Wavelength_division_multiplexing" title="Wavelength division multiplexing">wavelength division multiplexing</a> to support ranges of between 240 m and 300 m over deployed multi-mode cabling. Also supports 10 km over single-mode fiber. <o:p></o:p></span></li>
<li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/10GBASE-LR" title="10GBASE-LR">10GBASE-LR</a> and <a href="http://en.wikipedia.org/wiki/10GBASE-ER" title="10GBASE-ER">10GBASE-ER</a>: these standards support 10 km and 40 km respectively over single-mode fiber. <o:p></o:p></span></li>
<li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/10GBASE-SW" title="10GBASE-SW">10GBASE-SW</a>, <a href="http://en.wikipedia.org/wiki/10GBASE-LW" title="10GBASE-LW">10GBASE-LW</a> and <a href="http://en.wikipedia.org/wiki/10GBASE-EW" title="10GBASE-EW">10GBASE-EW</a>. These varieties use the WAN PHY, designed to interoperate with OC-192 / STM-64 <a href="http://en.wikipedia.org/wiki/SONET" title="SONET">SONET</a>/<a href="http://en.wikipedia.org/wiki/SDH" title="SDH">SDH</a> equipment. They correspond at the physical layer to 10GBASE-SR, 10GBASE-LR and 10GBASE-ER respectively, and hence use the same types of fiber and support the same distances. (There is no WAN PHY standard corresponding to 10GBASE-LX4.) <o:p></o:p></span></li>
<li class="MsoNormal" style="background-position: initial initial; background-repeat: initial initial; line-height: 150%; text-align: justify;"><span lang="EN"><a href="http://en.wikipedia.org/wiki/10GBASE-T" title="10GBASE-T">10GBASE-T</a>: designed to support copper twisted pair was specified by the IEEE Std 802.3an-2006 which has been incorporated into the IEEE Std 802.3-2008. <o:p></o:p></span></li>
</ul><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><span lang="EN">Ten-gigabit Ethernet is still an emerging technology, and it remains to be seen which of the standards will gain commercial acceptance.<o:p></o:p></span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><span lang="EN"><br />
</span></div><div style="background-position: initial initial; background-repeat: initial initial; line-height: 150%;"><span lang="EN"></span></div><div class="MsoNormal" style="line-height: 150%; mso-layout-grid-align: none; text-autospace: none;">The functions of the <b>repeater</b> are:</div><div class="MsoNormal" style="line-height: 150%;">1) In general, the repeater receives data from one port and retransmits it to the other ports;</div><div class="MsoNormal" style="line-height: 150%;">2) it detects collisions, activity, and errors, generating and transmitting the appropriate symbols under these conditions; and </div><div style="line-height: 150%;">3) it detects jabbering and partition conditions, asserting <b>tx_en</b>, <b>rx_en </b>as appropriate. To accomplish the functions required of the network repeater, the incoming data must be buffered and the correct symbols generated. The buffered data must be multiplexed with other symbols, depending on which data should be transmitted to the active ports. </div><div style="line-height: 150%; text-indent: 0.5in;">WLANs offer tremendous convenience but a drawback of these systems is the limited area covered by WiFi signals. Obstructions and other common electronic devices interfere with and degrade signal strength. This can make it difficult to connect from a basement, back room, or upstairs office, depending on placement of the wireless router and other variables. A wireless network repeater can be the answer.</div><div style="line-height: 150%; text-indent: 0.5in;">A WiFi network repeater will pick up the signal from a wireless router and amplify it, propagating signal strength to boost distance and coverage of the WLAN. For example, assume an upstairs office gets only a weak signal from a router located in the basement. The building might have a steel infrastructure, <a href="http://www.wisegeek.com/what-is-a-cordless-phone.htm"><span style="color: windowtext; text-decoration: none; text-underline: none;">cordless phones</span></a> and other forms of interference.</div><div style="line-height: 150%; text-indent: 0.5in;">Another option is to setup a network repeater on the lower floor, halfway between the basement and the upstairs office. The repeater should magnify the signal enough to get good coverage in the upstairs floor. If the building is quite large, several network repeaters can be placed strategically to “draw” the signal where required, though this concept has its limits. Devices communicating with an intermediate network repeater will have lower performance stats than those communicating directly with the router. This becomes more of an issue as additional repeaters are used in line.</div><div style="line-height: 150%; text-indent: 0.5in;">Additionally, there are two types of repeaters available: those with an external antenna and those with an internal antenna. The former plugs into an electrical outlet and is less obvious. The latter sits out on a desk or table. In this case, a removable hybrid antenna is desirable over a fixed antenna, while some models feature two antennas. Other repeaters allow an external antenna to be connected, which can be advantageous when attempting to cover a large building. </div><div class="MsoNormal" style="line-height: 150%;">The basic objectives for a repeater are to:</div><div class="MsoNormal" style="line-height: 150%;">• Detect carrier activity on ports and receive Ethernet frames on active ports</div><div class="MsoNormal" style="line-height: 150%;">• Restore the shape, amplitude, and timing of the received frame signals prior to retransmission</div><div class="MsoNormal" style="line-height: 150%;">• Forward the Ethernet frame to each of the active ports</div><div class="MsoNormal" style="line-height: 150%;">• Detect and signal a collision event throughout the network</div><div class="MsoNormal" style="line-height: 150%;">• Extend a network's physical dimensions</div><div class="MsoNormal" style="line-height: 150%;">• Protect a network from failures of stations, cables, ports, and so forth</div><div class="MsoNormal" style="line-height: 150%;">• Allow the installation and removal of stations without network disruption</div><div class="MsoNormal" style="line-height: 150%;">• Support interoperability of various physical layers (10BASE2, 10BASE-T, etc.)</div><div class="MsoNormal" style="line-height: 150%;">• Provide centralized management of network operations and statistics</div><div class="MsoNormal" style="line-height: 150%;">• Provide for low-cost network installation, growth, and maintenance</div><div class="MsoNormal" style="line-height: 150%;">• Partition bad segments</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-indent: 0.5in;">A network repeater's basic function is to retransmit data that is sent from one port to all other ports. Transceivers perform the electrical functions needed for interfacing the host ports to the repeater core logic. Each transceiver interface provides the following signals to the repeater:</div><div class="MsoNormal" style="line-height: 150%;">• carrier sense (<b>crs</b>)</div><div class="MsoNormal" style="line-height: 150%;">• receive clock (<b>rx_clk</b>)</div><div class="MsoNormal" style="line-height: 150%;">• receive data valid (<b>rx_dv</b>)</div><div class="MsoNormal" style="line-height: 150%;">• receive data error (<b>rx,_er</b>)</div><div class="MsoNormal" style="line-height: 150%;">• three pairs of data (<b>rxd0 - rxd5</b>)</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%; text-indent: 0.5in;">The signal <b>crs </b>indicates that data is being received by the transceiver. <b>rx_clk </b>is the clock recovered from the incoming data by the transceiver and is used to synchronize the data, <b>rxd0 - rxd5</b>. The signal <b>rx_dv </b>informs the repeater core that the received data, is valid. It is asserted at the start of a data frame (at the data frame's start of frame delimiter, SFD) and deasserted at the end of a frame. The <b>rx_er </b>signal indicates that an error was detected in the reception of the data.</div><div class="MsoNormal"><br />
</div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgG7aDvHC9m3eIgdTnAEmcec-UqUlC5G9a9e9VEagBZPrfg3k8R6viznMiM-QDoKKWNmIC1g75JIjRmvHdqpv5_zOov5fuMEfUmV4KPZn7TKGB7Wf_G2ubC1fZtZgBvk_wL_7-QXrRnaI7C/s1600/VHDL.JPG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgG7aDvHC9m3eIgdTnAEmcec-UqUlC5G9a9e9VEagBZPrfg3k8R6viznMiM-QDoKKWNmIC1g75JIjRmvHdqpv5_zOov5fuMEfUmV4KPZn7TKGB7Wf_G2ubC1fZtZgBvk_wL_7-QXrRnaI7C/s1600/VHDL.JPG" /></a></div><div class="MsoNormal"><o:p><br />
</o:p></div><br />
<div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiEiXwzJQJcWkPxyA6sqz2svfwI0Dxl510A-7fpB_u3FKlSO6Ajoa0CKH1T0MMfEmZfbCxhLYXIWBR75EP46FK6l10eYKWB4Rts1AtvGsAJBvrMvfv3PsyFF_hMf3rtrybmViluh4sJnkF_/s1600/VHDL+GRAPH.JPG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiEiXwzJQJcWkPxyA6sqz2svfwI0Dxl510A-7fpB_u3FKlSO6Ajoa0CKH1T0MMfEmZfbCxhLYXIWBR75EP46FK6l10eYKWB4Rts1AtvGsAJBvrMvfv3PsyFF_hMf3rtrybmViluh4sJnkF_/s1600/VHDL+GRAPH.JPG" /></a></div><div style="line-height: 150%; text-indent: 0.5in;"><br />
</div><div class="separator" style="clear: both; text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgQw3GLJ8rMBFyVsEm9zIv8pNH2_Dy55GfxvGZ_kH8hC6biV5VJmzZ87PxsPSQtH08b6j6xsqSybEAWq_sPWy7S-0kKw7merfnVGDmnztq2G8rqzAGuTLVfRgFs4pJbZpjglS4fz3PHcTD7/s1600/VHDL+GRAPH+2.JPG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgQw3GLJ8rMBFyVsEm9zIv8pNH2_Dy55GfxvGZ_kH8hC6biV5VJmzZ87PxsPSQtH08b6j6xsqSybEAWq_sPWy7S-0kKw7merfnVGDmnztq2G8rqzAGuTLVfRgFs4pJbZpjglS4fz3PHcTD7/s1600/VHDL+GRAPH+2.JPG" /></a></div><div style="line-height: 150%; text-indent: 0.5in;"><o:p></o:p></div><div align="center" class="MsoNormal" style="line-height: 150%; tab-stops: 95.25pt; text-align: center;"><b>FIGURE : 5.10<o:p></o:p></b></div><div align="center" class="MsoNormal" style="line-height: 150%; tab-stops: 95.25pt; text-align: center;"><b>Simulation Results for the Top Module<o:p></o:p></b></div><div align="center" class="MsoNormal" style="line-height: 150%; tab-stops: 95.25pt; text-align: center;"><b><br />
</b></div><div align="center" class="MsoNormal" style="line-height: 150%; tab-stops: 95.25pt; text-align: center;"></div><b><span style="font-size: 14.0pt;">Conclusion<o:p></o:p></span></b><br />
<div style="line-height: 150%; text-align: justify;"> As the use of high performance PCs and servers and high-bandwidth applications increases, the use of high throughput networking grows. The most popular method for high performance PC and server connections is 100 BASE-T Fast Ethernet. Fast Ethernet provides a high performance solution at a low cost and simple integration with 10 BASE-T Ethernet. With broad usage of Fast Ethernet products has come the need to support a broader range of network cabling types.</div><div style="line-height: 150%; text-align: justify;"> Thus by using the network repeater noise can be reduced. It is flexible so that we can implement it for 16-bit and 32-bit. The hardware becomes very complex. In this project a 100 base T4 network repeater is implemented using Very High Speed Integrated Circuit Hardware Description Language (VHDL). The proposed work involves study of Spartan-3E FPGA architecture, implementation of several modules in VHDL and finally synthesis on Spartan-3E FPGA </div><div style="line-height: 150%; text-align: justify;"><br />
</div><div style="line-height: 150%; text-align: justify;"></div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%;">7.1. VHDL Code<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%;">Arbiter<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">---- any Xilinx primitives in this code.</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;">entity arbiter8 is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( txclk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> areset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity1 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity2 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity3 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity4 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity5 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity6 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity7 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity8 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel1 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel2 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel3 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel4 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel5 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel6 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel7 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel8 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> nosel : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> carrier :buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> collision : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end arbiter8;</div><div class="MsoNormal" style="line-height: 150%;">architecture Behavioral of arbiter8 is</div><div class="MsoNormal" style="line-height: 150%;">signal colin, carin: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal activityin1, activityin2, activityin3, activityin4: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal activityin5, activityin6, activityin7, activityin8: std_logic; </div><div class="MsoNormal" style="line-height: 150%;"> signal noactivity: std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> component rdff1 is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;"> end component;</div><div class="MsoNormal" style="line-height: 150%;">begin</div><div class="MsoNormal" style="line-height: 150%;">--Components</div><div class="MsoNormal" style="line-height: 150%;"> ul: rdff1 port map (txclk, areset, activityin1, sel1) ;</div><div class="MsoNormal" style="line-height: 150%;"> u2: rdff1 port map (txclk, areset, activityin2, sel2);</div><div class="MsoNormal" style="line-height: 150%;"> u3: rdff1 port map (txclk, areset, activityin3, sel3) ;</div><div class="MsoNormal" style="line-height: 150%;"> u4: rdff1 port map (txclk, areset, activityin4, sel4);</div><div class="MsoNormal" style="line-height: 150%;"> u5: rdff1 port map (txclk, areset, activityin5, sel5) ;</div><div class="MsoNormal" style="line-height: 150%;"> u6: rdff1 port map (txclk, areset, activityin6, sel6);</div><div class="MsoNormal" style="line-height: 150%;"> u7: rdff1 port map (txclk, areset, activityin7, sel7) ;</div><div class="MsoNormal" style="line-height: 150%;"> u8: rdff1 port map (txclk, areset, activityin8, sel8); </div><div class="MsoNormal" style="line-height: 150%;"> u9: rdff1 port map (txclk, areset, noactivity, nosel);</div><div class="MsoNormal" style="line-height: 150%;"> ul0: rdff1 port map (txclk, areset, colin, collision);</div><div class="MsoNormal" style="line-height: 150%;"> ull: rdff1 port map (txclk, areset, carin, carrier) ;</div><div class="MsoNormal" style="line-height: 150%;">--Arbitration Select Logic</div><div class="MsoNormal" style="line-height: 150%;"> activityin1 <= activity1;</div><div class="MsoNormal" style="line-height: 150%;"> activityin2 <= activity2 and not activity1;</div><div class="MsoNormal" style="line-height: 150%;"> activityin3 <= activity3 and not(activity1 or activity2);</div><div class="MsoNormal" style="line-height: 150%;"> activityin4 <= activity4 and not(activity1 or activity2 or activity3);</div><div class="MsoNormal" style="line-height: 150%;"> activityin5 <= activity5 and not(activity1 or activity2 or activity3 or activity4);</div><div class="MsoNormal" style="line-height: 150%;"> activityin6 <= activity6 and not(activity1 or activity2 or activity3 or activity4 or activity5);</div><div class="MsoNormal" style="line-height: 150%;"> activityin7<= activity7 and not(activity1 or activity2 or activity3 or activity4 or activity5 or activity6);</div><div class="MsoNormal" style="line-height: 150%;"> activityin8 <= activity8 and not(activity1 or activity2 or activity3 or activity4 or activity5 or activity6 or activity7);</div><div class="MsoNormal" style="line-height: 150%;"> noactivity <= not(activity1 or activity2 or activity3 or activity4 or activity5 or activity6 or activity7 or activity8);</div><div class="MsoNormal" style="line-height: 150%;"> colin<= (activity1 and (activity2 or activity3 or activity4 or activity5 or activity6 or activity7 or activity8)) or</div><div class="MsoNormal" style="line-height: 150%;"> (activity2 and (activity1 or activity3 or activity4</div><div class="MsoNormal" style="line-height: 150%;"> or activity5 or activity6 or activity7 or activity8)) or</div><div class="MsoNormal" style="line-height: 150%;"> (activity3 and (activity1 or activity2 or activity4</div><div class="MsoNormal" style="line-height: 150%;"> or activity5 or activity6 or activity7 or activity8)) or</div><div class="MsoNormal" style="line-height: 150%;"> (activity4 and (activity1 or activity2 or activity3</div><div class="MsoNormal" style="line-height: 150%;"> or activity5 or activity6 or activity7 or activity8)) or</div><div class="MsoNormal" style="line-height: 150%;"> (activity5 and (activity1 or activity2 or activity3</div><div class="MsoNormal" style="line-height: 150%;"> or activity4 or activity6 or activity7 or activity8)) or</div><div class="MsoNormal" style="line-height: 150%;"> (activity6 and (activity1 or activity2 or activity3</div><div class="MsoNormal" style="line-height: 150%;"> or activity4 or activity5 or activity7 or activity8)) or</div><div class="MsoNormal" style="line-height: 150%;"> (activity7 and (activity1 or activity2 or activity3</div><div class="MsoNormal" style="line-height: 150%;"> or activity4 or activity5 or activity6 or activity8)) or</div><div class="MsoNormal" style="line-height: 150%;"> (activity8 and (activity1 or activity2 or activity3</div><div class="MsoNormal" style="line-height: 150%;"> or activity4 or activity5 or activity6 or activity7));</div><div class="MsoNormal" style="line-height: 150%;"> carin <= activity1 or activity2 or activity3 or activity4</div><div class="MsoNormal" style="line-height: 150%;"> or activity5 or activity6 or activity7 or activity8 ;</div><div class="MsoNormal" style="line-height: 150%;">end Behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">Ascount<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">---- any Xilinx primitives in this code.</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;">entity ascount is</div><div class="MsoNormal" style="line-height: 150%;">generic(countersize:integer:=2);</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> areset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sreset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> enable : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> count : buffer STD_LOGIC_vector(countersize-1 downto 0));</div><div class="MsoNormal" style="line-height: 150%;">end ascount;</div><div class="MsoNormal" style="line-height: 150%;">architecture Behavioral of ascount is</div><div class="MsoNormal" style="line-height: 150%;">begin</div><div class="MsoNormal" style="line-height: 150%;">p1: process (areset,clk,sreset) begin</div><div class="MsoNormal" style="line-height: 150%;"> if(areset='1') then</div><div class="MsoNormal" style="line-height: 150%;"> count<=(others=>'0');</div><div class="MsoNormal" style="line-height: 150%;"> elsif(clk'event and clk='1') then</div><div class="MsoNormal" style="line-height: 150%;"> if(sreset='1') then</div><div class="MsoNormal" style="line-height: 150%;"> count<=(others=> '0');</div><div class="MsoNormal" style="line-height: 150%;"> elsif enable ='1' then</div><div class="MsoNormal" style="line-height: 150%;"> count<=count+1;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> count<=count;</div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;"> end process;</div><div class="MsoNormal" style="line-height: 150%;">end Behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">Clk_Mux<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">---- any Xilinx primitives in this code.</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;">entity clk_mux is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( </div><div class="MsoNormal" style="line-height: 150%;"> clk1 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk2 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk3 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk4 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk5 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk6 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk7 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk8 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk9: in std_logic; </div><div class="MsoNormal" style="line-height: 150%;"> sel1 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel2 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel3 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel4 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel5 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel6 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel7 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel8 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;"> sel9: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rxclk : buffer STD_LOGIC); </div><div class="MsoNormal" style="line-height: 150%;">end clk_mux;</div><div class="MsoNormal" style="line-height: 150%;">architecture Behavioral of clk_mux is</div><div class="MsoNormal" style="line-height: 150%;">signal sel : std_logic_vector(8 downto 0);</div><div class="MsoNormal" style="line-height: 150%;">begin</div><div class="MsoNormal" style="line-height: 150%;"> sel<= (sel9,sel8,sel7,sel6,sel5,sel4,sel3,sel2,sel1);</div><div class="MsoNormal" style="line-height: 150%;"> with sel select</div><div class="MsoNormal" style="line-height: 150%;"> rxclk <=</div><div class="MsoNormal" style="line-height: 150%;"> clk1 when "000000001",</div><div class="MsoNormal" style="line-height: 150%;"> clk2 when "000000010",</div><div class="MsoNormal" style="line-height: 150%;"> clk3 when "000000100",</div><div class="MsoNormal" style="line-height: 150%;"> clk4 when "000001000",</div><div class="MsoNormal" style="line-height: 150%;"> clk5 when "000010000",</div><div class="MsoNormal" style="line-height: 150%;"> clk6 when "000100000",</div><div class="MsoNormal" style="line-height: 150%;"> clk7 when "001000000",</div><div class="MsoNormal" style="line-height: 150%;"> clk8 when "010000000",</div><div class="MsoNormal" style="line-height: 150%;"> clk9 when "100000000",</div><div class="MsoNormal" style="line-height: 150%;"> '0' when others;</div><div class="MsoNormal" style="line-height: 150%;">end Behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">Control</span></b><b><span style="font-size: 16.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;"><o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library ieee;</div><div class="MsoNormal" style="line-height: 150%;">use ieee.std_logic_1164.all;</div><div class="MsoNormal" style="line-height: 150%;">--use basic.regs_pkg.all;</div><div class="MsoNormal" style="line-height: 150%;">--use basic.synch_pkg.all;</div><div class="MsoNormal" style="line-height: 150%;">--use basic.counters_pkg.all;</div><div class="MsoNormal" style="line-height: 150%;">entity control is </div><div class="MsoNormal" style="line-height: 150%;"> port (txclk: in std_logic;--Reference TX_CLK</div><div class="MsoNormal" style="line-height: 150%;"> areset: in std_logic;--Async reset</div><div class="MsoNormal" style="line-height: 150%;"> carrier: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> collision:in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rx_error: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rx_dv: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolendl:in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolend2: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolend3: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolinc:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbol1:buffer std_logic_vector(1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> symbol2:buffer std_logic_vector(1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> symbol3:buffer std_logic_vector(1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> switch1: buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> switch2:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> switch3:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> wptrclr:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> wptrinc:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rptrinc:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txdata:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> idle:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> preamble:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> data: buffer std_logic; </div><div class="MsoNormal" style="line-height: 150%;"> col: buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> prescale:buffer std_logic);</div><div class="MsoNormal" style="line-height: 150%;"> end control;</div><div class="MsoNormal" style="line-height: 150%;">architecture behavioral of control is</div><div class="MsoNormal" style="line-height: 150%;"> type states1 is (IDLE_STATE1, PRE1_sTATE1, PRE2_sTATE1, PRE3_sTATE1, DATA_STATE1, JAM_sTATE1, NOSFD_sTATE1, ERROR_sTATE1);</div><div class="MsoNormal" style="line-height: 150%;"> --attribute state_encoding of statesl:type is one_hot_one;</div><div class="MsoNormal" style="line-height: 150%;"> type states2 is (IDLE_sTATE2, PREl_sTATE2, PRE2_sTATE2, PRE3_sTATE2, DATA_sTATE2, JAM_sTATE2, NOsFD_sTATE2, ERROR_STATE2, PRE4_sTATE2);</div><div class="MsoNormal" style="line-height: 150%;"> --attribute state_encoding of states2:type is one_hot_one;</div><div class="MsoNormal" style="line-height: 150%;"> type states3 is (IDLE_sTATE3, PRE1_sTATE3, PRE2_sTATE3, PRE3_sTATE3,DATA_STATE3, JAM_STATE3, NOsFD_sTATE3, ERROR_sTATE3);</div><div class="MsoNormal" style="line-height: 150%;"> --attribute state_encoding of states3:type is one_hot_one;</div><div class="MsoNormal" style="line-height: 150%;"> signal state1, newstate1: states1;</div><div class="MsoNormal" style="line-height: 150%;"> signal state2, newstate2: states2;</div><div class="MsoNormal" style="line-height: 150%;"> signal state3, newstate3: states3;</div><div class="MsoNormal" style="line-height: 150%;"> signal carrierd, carrierdd: std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> signal error, rx_dv_in, rx_error_in: std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> signal no_sfd, no_sfd_in, no_data, data_valid: std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> signal prescale_in: std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> signal pout: std_logic_vector(9 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> constant jam: std_logic_vector(1 downto 0) := "00";</div><div class="MsoNormal" style="line-height: 150%;"> constant pre: std_logic_vector(1 downto 0) := "00"; </div><div class="MsoNormal" style="line-height: 150%;"> constant sosb: std_logic_vector(1 downto 0) := "01";</div><div class="MsoNormal" style="line-height: 150%;"> constant bad: std_logic_vector(1 downto 0) :="10"; </div><div class="MsoNormal" style="line-height: 150%;"> constant zero: std_logic_vector(1 downto 0):= "11"; </div><div class="MsoNormal" style="line-height: 150%;"> constant fifodata: std_logic:='1';</div><div class="MsoNormal" style="line-height: 150%;"> constant symboldata: std_logic :='0';</div><div class="MsoNormal" style="line-height: 150%;"> signal vdd: std_logic :='1' ; </div><div class="MsoNormal" style="line-height: 150%;"> signal vss: std_logic :='0';</div><div class="MsoNormal" style="line-height: 150%;">component ascount is</div><div class="MsoNormal" style="line-height: 150%;">generic(countersize:integer:=2);</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> areset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sreset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> enable : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> count : buffer STD_LOGIC_vector(countersize-1 downto 0));</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;">component rdff1 is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;">component rsynch is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;">--Components</div><div class="MsoNormal" style="line-height: 150%;">begin</div><div class="MsoNormal" style="line-height: 150%;"> ul: rsynch port map (txclk, areset, carrier, carrierdd);</div><div class="MsoNormal" style="line-height: 150%;"> u3: rsynch port map (txclk, areset, rx_error_in, error);</div><div class="MsoNormal" style="line-height: 150%;"> u5: rdff1 port map (txclk, areset, rx_dv_in, data_valid);</div><div class="MsoNormal" style="line-height: 150%;"> u7: rdff1 port map (txclk, areset, no_sfd_in, no_data);</div><div class="MsoNormal" style="line-height: 150%;"> u8: ascount generic map(10) port map (txclk, areset, vss, vdd, pout);</div><div class="MsoNormal" style="line-height: 150%;"> u9: rdff1 port map(txclk, areset, prescale_in, prescale);</div><div class="MsoNormal" style="line-height: 150%;"> rx_dv_in <= carrierdd and rx_dv; -- filter out glitches</div><div class="MsoNormal" style="line-height: 150%;"> rx_error_in <= carrierdd and rx_error; -- filter out glitches</div><div class="MsoNormal" style="line-height: 150%;"> wptrclr <= not(rx_dv_in and not collision);</div><div class="MsoNormal" style="line-height: 150%;"> no_sfd_in <= (no_sfd or no_data) and carrier;</div><div class="MsoNormal" style="line-height: 150%;"> prescale_in<= '1' when pout = "1111111111" else '0';</div><div class="MsoNormal" style="line-height: 150%;"> wptrinc <= '1' ;</div><div class="MsoNormal" style="line-height: 150%;"> rptrinc <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> symbolinc <= '1';</div><div class="MsoNormal" style="line-height: 150%;">--State machine controllers</div><div class="MsoNormal" style="line-height: 150%;">--State machine controller Line 3</div><div class="MsoNormal" style="line-height: 150%;"> p3: process (carrier, collision, symbolend3, data_valid, error,state3)</div><div class="MsoNormal" style="line-height: 150%;"> begin</div><div class="MsoNormal" style="line-height: 150%;"> case (state3) is</div><div class="MsoNormal" style="line-height: 150%;"> when IDLE_STATE3 => </div><div class="MsoNormal" style="line-height: 150%;"> symbol3<= zero;</div><div class="MsoNormal" style="line-height: 150%;"> switch3 <= symboldata;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> preamble<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> data <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> no_sfd<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> idle <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> col <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> txdata<= '0'; </div><div class="MsoNormal" style="line-height: 150%;"> if (collision = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= JAM_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (carrier = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= PRE1_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= IDLE_STATE3;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;"> when PRE1_STATE3 =></div><div class="MsoNormal" style="line-height: 150%;"> symbol3<= pre;</div><div class="MsoNormal" style="line-height: 150%;"> switch3 <= symboldata;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> preamble<= '1';</div><div class="MsoNormal" style="line-height: 150%;"> data <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> no_sfd<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> idle <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> col <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> txdata<= '1'; </div><div class="MsoNormal" style="line-height: 150%;"> if (carrier = '0') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= IDLE_STATE3; </div><div class="MsoNormal" style="line-height: 150%;"> elsif (collision = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= JAM_STATE3; </div><div class="MsoNormal" style="line-height: 150%;"> elsif (symbolend3 = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= PRE2_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= PRE1_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;"> when PRE2_STATE3 =></div><div class="MsoNormal" style="line-height: 150%;"> symbol3<= pre;</div><div class="MsoNormal" style="line-height: 150%;"> switch3 <= symboldata;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> preamble<= '1';</div><div class="MsoNormal" style="line-height: 150%;"> data <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> no_sfd<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> idle <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> col <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> txdata<= '1'; </div><div class="MsoNormal" style="line-height: 150%;"> if (carrier = '0') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= IDLE_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (collision = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= JAM_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (symbolend3 = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= PRE3_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= PRE2_STATE3;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;"> when PRE3_STATE3 =></div><div class="MsoNormal" style="line-height: 150%;"> symbol3<= sosb;</div><div class="MsoNormal" style="line-height: 150%;"> switch3 <= symboldata;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> preamble<= '1';</div><div class="MsoNormal" style="line-height: 150%;"> data <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> no_sfd<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> idle <= '0' ;</div><div class="MsoNormal" style="line-height: 150%;"> col <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> txdata<= '1';</div><div class="MsoNormal" style="line-height: 150%;"> if (carrier = '0') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= IDLE_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (collision = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= JAM_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (symbolend3 = '1' and error = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= ERROR_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (symbolend3 = '1' and data_valid = '0') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= NOSFD_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (symbolend3 = '1' and data_valid = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= DATA_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= PRE3_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;"> when DATA_STATE3 =></div><div class="MsoNormal" style="line-height: 150%;"> symbol3<= jam;</div><div class="MsoNormal" style="line-height: 150%;"> switch3 <= fifodata;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> preamble<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> data <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> no_sfd<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> idle <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> col <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> txdata<= '1';</div><div class="MsoNormal" style="line-height: 150%;"> if (carrier = '0') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= IDLE_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (collision = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= JAM_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (symbolend3 = '1' and error='1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= ERROR_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= DATA_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;"> when JAM_STATE3 =></div><div class="MsoNormal" style="line-height: 150%;"> symbol3<= jam;</div><div class="MsoNormal" style="line-height: 150%;"> switch3 <= symboldata;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> preamble<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> data <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> no_sfd<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> idle <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> col <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> txdata<= '1';</div><div class="MsoNormal" style="line-height: 150%;"> if (carrier = '0') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= IDLE_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= JAM_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;"> when NOSFD_STATE3 =></div><div class="MsoNormal" style="line-height: 150%;"> symbol3<= jam;</div><div class="MsoNormal" style="line-height: 150%;"> switch3 <= symboldata;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> preamble<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> data <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> no_sfd<= '1';</div><div class="MsoNormal" style="line-height: 150%;"> idle <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> col <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> txdata <='1';</div><div class="MsoNormal" style="line-height: 150%;"> if (carrier = '0') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= IDLE_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (collision = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= JAM_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (symbolend3 = '1' and error='1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= ERROR_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= NOSFD_STATE3;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;"> when ERROR_STATE3=></div><div class="MsoNormal" style="line-height: 150%;"> symbol3<= bad;</div><div class="MsoNormal" style="line-height: 150%;"> switch3 <= symboldata;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> preamble<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> data <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> no_sfd <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> idle <= '0' ;</div><div class="MsoNormal" style="line-height: 150%;"> col <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> txdata<= '1';</div><div class="MsoNormal" style="line-height: 150%;"> if (carrier = '0') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= IDLE_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (collision = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= JAM_STATE3;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate3 <= ERROR_STATE3;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;">end case;</div><div class="MsoNormal" style="line-height: 150%;">end process;</div><div class="MsoNormal" style="line-height: 150%;"> p3clk: process (txclk,areset)</div><div class="MsoNormal" style="line-height: 150%;"> begin</div><div class="MsoNormal" style="line-height: 150%;"> if areset = '1' then</div><div class="MsoNormal" style="line-height: 150%;"> state3 <= idle_state3;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (txclk'event and txclk='1') then</div><div class="MsoNormal" style="line-height: 150%;"> state3 <= newstate3;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;">end process;</div><div class="MsoNormal" style="line-height: 150%;">end behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">FIFO</span><o:p></o:p></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">--use basic.regs_pkg.all;</div><div class="MsoNormal" style="line-height: 150%;">--use basic.rreg;</div><div class="MsoNormal" style="line-height: 150%;">--use basic.counters_pkg.all;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">---- any Xilinx primitives in this code.</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;">entity fifo is </div><div class="MsoNormal" style="line-height: 150%;"> port (</div><div class="MsoNormal" style="line-height: 150%;"> rxclk: in std_logic; --from clock mux circuit</div><div class="MsoNormal" style="line-height: 150%;"> txclk: in std_logic; --Reference TX_CLK</div><div class="MsoNormal" style="line-height: 150%;"> areset: in std_logic;-- Asynch reset</div><div class="MsoNormal" style="line-height: 150%;"> sreset: in std_logic; --Synch reset</div><div class="MsoNormal" style="line-height: 150%;"> wptrclr: in std_logic; --FIFO write pointer clear</div><div class="MsoNormal" style="line-height: 150%;"> wptrinc: in std_logic; --FIFO write pointer incr</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr: in std_logic; --FIFO read pointer clear</div><div class="MsoNormal" style="line-height: 150%;"> rptrinc: in std_logic; --FIFO read poi~ter incr</div><div class="MsoNormal" style="line-height: 150%;"> rxd5: in std_logic; --FIFO data input</div><div class="MsoNormal" style="line-height: 150%;"> rxd4: in std_logic;-- FIFO data input</div><div class="MsoNormal" style="line-height: 150%;"> rxd3: in std_logic;-- FIFO d~ta input</div><div class="MsoNormal" style="line-height: 150%;"> rxd2: in std_logic;-- FIFO data input</div><div class="MsoNormal" style="line-height: 150%;"> rxd1: in std_logic; --FIFO data input</div><div class="MsoNormal" style="line-height: 150%;"> rxd0: in std_logic; ---FIFO data input</div><div class="MsoNormal" style="line-height: 150%;"> dmuxout: buffer std_logic_vector(5 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> ---FIFO mux output</div><div class="MsoNormal" style="line-height: 150%;"> wptr2 :buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> wptr1:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> wptr0:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rptr2:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rptr1:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rptr0:buffer std_logic);</div><div class="MsoNormal" style="line-height: 150%;"> end fifo;</div><div class="MsoNormal" style="line-height: 150%;"> architecture behavioral of fifo is</div><div class="MsoNormal" style="line-height: 150%;"> -- signals</div><div class="MsoNormal" style="line-height: 150%;"> component rreg is</div><div class="MsoNormal" style="line-height: 150%;"> generic (size:integer:=2);</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> load : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC_vector(size-1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC_vector(size-1 downto 0));</div><div class="MsoNormal" style="line-height: 150%;"> end component;</div><div class="MsoNormal" style="line-height: 150%;"> component ascount is</div><div class="MsoNormal" style="line-height: 150%;"> generic(countersize:integer:=2);</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> areset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sreset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> enable : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> count : buffer STD_LOGIC_vector(countersize-1 downto 0));</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;"> signal rptr, wptr: std_logic_vector(2 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> signal qout0, qout1, qout2, qout3, qout4, qout5,</div><div class="MsoNormal" style="line-height: 150%;"> qout6, qout7, rxd: std_logic_vector(5 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> signal en: std_logic_vector(7 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%;">begin</div><div class="MsoNormal" style="line-height: 150%;">--Components</div><div class="MsoNormal" style="line-height: 150%;">--FIFO array</div><div class="MsoNormal" style="line-height: 150%;"> u1: rreg generic map (6) port map (rxclk, areset, en(0), rxd, qout0);</div><div class="MsoNormal" style="line-height: 150%;"> u2: rreg generic map (6) port map (rxclk, areset, en(1), rxd, qout1);</div><div class="MsoNormal" style="line-height: 150%;"> u3: rreg generic map (6) port map (rxclk, areset, en(2), rxd, qout2);</div><div class="MsoNormal" style="line-height: 150%;"> u4: rreg generic map (6) port map (rxclk, areset, en(3) , rxd, qout3);</div><div class="MsoNormal" style="line-height: 150%;"> u5: rreg generic map (6) port map (rxclk, areset, en(4), rxd, qout4);</div><div class="MsoNormal" style="line-height: 150%;"> u6: rreg generic map (6) port map (rxclk, areset, en(5), rxd, qout5);</div><div class="MsoNormal" style="line-height: 150%;"> u7: rreg generic map (6) port map (rxclk, areset, en(6), rxd, qout6);</div><div class="MsoNormal" style="line-height: 150%;"> u8: rreg generic map (6) port map (rxclk, areset, en(7) , rxd, qout7) ;</div><div class="MsoNormal" style="line-height: 150%;"> --Write pointer</div><div class="MsoNormal" style="line-height: 150%;"> u10: ascount generic map (3) port map (rxclk, areset, wptrclr, wptrinc, wptr);</div><div class="MsoNormal" style="line-height: 150%;"> -- Read pointer</div><div class="MsoNormal" style="line-height: 150%;"> u11: ascount generic map (3) port map (txclk, areset, rptrclr, rptrinc, rptr);</div><div class="MsoNormal" style="line-height: 150%;"> rxd <= (rxd5, rxd4, rxd3, rxd2, rxd1, rxd0);</div><div class="MsoNormal" style="line-height: 150%;"> wptr2 <= wptr(2); </div><div class="MsoNormal" style="line-height: 150%;"> wptr1 <= wptr(1);</div><div class="MsoNormal" style="line-height: 150%;"> wptr0 <= wptr(0);</div><div class="MsoNormal" style="line-height: 150%;"> rptr2 <= rptr(2);</div><div class="MsoNormal" style="line-height: 150%;"> rptr1 <= rptr(1);</div><div class="MsoNormal" style="line-height: 150%;"> rptr0 <= rptr(0);</div><div class="MsoNormal" style="line-height: 150%;"> --8:1 Data: mux with rptr select</div><div class="MsoNormal" style="line-height: 150%;"> with rptr select</div><div class="MsoNormal" style="line-height: 150%;"> dmuxout <=</div><div class="MsoNormal" style="line-height: 150%;"> qout0 when "000",</div><div class="MsoNormal" style="line-height: 150%;"> qout1 when "001",</div><div class="MsoNormal" style="line-height: 150%;"> qout2 when "010",</div><div class="MsoNormal" style="line-height: 150%;"> qout3 when "011",</div><div class="MsoNormal" style="line-height: 150%;"> qout4 when "100",</div><div class="MsoNormal" style="line-height: 150%;"> qout5 when "101",</div><div class="MsoNormal" style="line-height: 150%;"> qout6 when "110",</div><div class="MsoNormal" style="line-height: 150%;"> qout7 when others;</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%;"> --FIFO register selector decoder (wptr)</div><div class="MsoNormal" style="line-height: 150%;"> with wptr select</div><div class="MsoNormal" style="line-height: 150%;"> en <= </div><div class="MsoNormal" style="line-height: 150%;"> "00000001" when "000",</div><div class="MsoNormal" style="line-height: 150%;"> "00000010" when "001",</div><div class="MsoNormal" style="line-height: 150%;"> "00000100" when "010",</div><div class="MsoNormal" style="line-height: 150%;"> "00001000" when "011",</div><div class="MsoNormal" style="line-height: 150%;"> "00010000" when "100",</div><div class="MsoNormal" style="line-height: 150%;"> "00100000" when "101",</div><div class="MsoNormal" style="line-height: 150%;"> "01000000" when "110",</div><div class="MsoNormal" style="line-height: 150%;"> "10000000" when others;</div><div class="MsoNormal" style="line-height: 150%;">end behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">PORTE</span></b><b><span style="font-size: 10.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;"><o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">---- any Xilinx primitives in this code.</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;">entity porte is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( txclk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> areset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> crs : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> enable_bar : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> link_bar: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> selected : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> carrier : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> collision : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> jam : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> txdata : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> prescale : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> rx_en : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> tx_en : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> jabber_bar : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> partition_bar : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end porte;</div><div class="MsoNormal" style="line-height: 150%;">architecture Behavioral of porte is</div><div class="MsoNormal" style="line-height: 150%;">type states is (clear_state, idle_state,cwatch_state,ccount_state,pwait_state,phold_state,</div><div class="MsoNormal" style="line-height: 150%;"> pcwatch_state,wait_state);</div><div class="MsoNormal" style="line-height: 150%;"> --attribute state_encoding of states:type is one_hot_one;</div><div class="MsoNormal" style="line-height: 150%;"> signal state,newstate: states;</div><div class="MsoNormal" style="line-height: 150%;"> signal crsdd,link_bardd,enable_bardd:std_logic; </div><div class="MsoNormal" style="line-height: 150%;"> signal tx_eni,carpres,transmit,copyd,copyin,collisiond:std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> signal jabcnt:std_logic_vector(3 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> signal jabberclr,jabberinc:std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> signal quietd:std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> signal cccnt:std_logic_vector(6 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> signal cclimit,nocoldone:std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> signal nocolcnt:std_logic_vector(7 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> signal ccclr,ccinc,nocolclr,nocolinc:std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> component rdff1 is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;"> end component;</div><div class="MsoNormal" style="line-height: 150%;"> component ascount is</div><div class="MsoNormal" style="line-height: 150%;"> generic(countersize:integer:=2);</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> areset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.5in;"> sreset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: .5in;"> enable : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;"> count : buffer STD_LOGIC_vector(countersize-1 downto 0));</div><div class="MsoNormal" style="line-height: 150%;"> end component;</div><div class="MsoNormal" style="line-height: 150%;">component psynch is</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: .5in;">Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: .5in;"> preset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: .5in;"> d : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: .5in;"> q : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;"> end component;</div><div class="MsoNormal" style="line-height: 150%;">component rsynch is</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: .5in;">Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: .5in;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: .5in;"> d : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: .5in;"> q : buffer STD _LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;">begin</div><div class="MsoNormal" style="line-height: 150%;">u0: rsynch port map(txclk,areset,crs,crsdd); </div><div class="MsoNormal" style="line-height: 150%;">u1: psynch port map(txclk,areset,link_bar,link_bardd);</div><div class="MsoNormal" style="line-height: 150%;">u2: psynch port map(txclk,areset,enable_bar,enable_bardd);</div><div class="MsoNormal" style="line-height: 150%;">u3: rdff1 port map(txclk,areset,tx_eni,tx_en);</div><div class="MsoNormal" style="line-height: 150%;">u4: rdff1 port map(txclk,areset,copyin,copyd);</div><div class="MsoNormal" style="line-height: 150%;">u5: rdff1 port map(txclk,areset,collision,collisiond);</div><div class="MsoNormal" style="line-height: 150%;">u6: ascount generic map (4) port map (txclk, areset, jabberclr,jabberinc, jabcnt);</div><div class="MsoNormal" style="line-height: 150%;">u7: ascount generic map (7) port map (txclk, areset, ccclr, ccinc,cccnt);</div><div class="MsoNormal" style="line-height: 150%;">u8: ascount generic map (8) port map (txclk, areset, nocolclr,nocolinc, nocolcnt);</div><div class="MsoNormal" style="line-height: 150%;">carpres<= crsdd and not enable_bardd;</div><div class="MsoNormal" style="line-height: 150%;">activity<= carpres and not link_bardd and jabber_bar and partition_bar;</div><div class="MsoNormal" style="line-height: 150%;">rx_en<= not enable_bardd and not link_bardd and selected and collision;</div><div class="MsoNormal" style="line-height: 150%;">tx_eni<= not enable_bardd and not link_bardd and jabber_bar and transmit;</div><div class="MsoNormal" style="line-height: 150%;">copyin<= carrier and not selected;</div><div class="MsoNormal" style="line-height: 150%;">transmit<= txdata and (copyd or collisiond);</div><div class="MsoNormal" style="line-height: 150%;">jabber_bar<= not (jabcnt(3) and jabcnt(2));</div><div class="MsoNormal" style="line-height: 150%;">jabberclr<= not carpres;</div><div class="MsoNormal" style="line-height: 150%;">jabberinc<= carpres and prescale and jabber_bar;</div><div class="MsoNormal" style="line-height: 150%;">quietd<= not copyd;</div><div class="MsoNormal" style="line-height: 150%;">cclimit<= cccnt(6);</div><div class="MsoNormal" style="line-height: 150%;">nocoldone<= nocolcnt(7) ;</div><div class="MsoNormal" style="line-height: 150%;">---<st1:place w:st="on"><st1:placename w:st="on">Partition</st1:placename> <st1:placetype w:st="on">State</st1:placetype></st1:place> Machine</div><div class="MsoNormal" style="line-height: 150%;">pI: process (state, carpres, collisiond, copyd, quietd,nocoldone, cclimit, enable_bardd) </div><div class="MsoNormal" style="line-height: 150%;"> begin</div><div class="MsoNormal" style="line-height: 150%;"> case (state) is</div><div class="MsoNormal" style="line-height: 150%;"> when CLEAR_STATE=></div><div class="MsoNormal" style="line-height: 150%;"> partition_bar <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> ccclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> ccinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> nocolclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> nocolinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> if (enable_bardd = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate<= CLEAR_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (quietd ='1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= IDLE_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CLEAR_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;"> when IDLE_STATE=></div><div class="MsoNormal" style="line-height: 150%;"> partition_bar <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> ccclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> ccinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> nocolclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> nocolinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> if (enable_bardd = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CLEAR_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (carpres = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CWATCH_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= IDLE_STATE;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;"> when CWATCH_STATE=></div><div class="MsoNormal" style="line-height: 150%;"> partition_bar <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> ccclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> ccinc <= collisiond;</div><div class="MsoNormal" style="line-height: 150%;"> nocolclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> nocolinc <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> if (enable_bardd = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CLEAR_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (collisiond = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CCOUNT_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (carpres = '0') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= IDLE_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (nocoldone = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CLEAR_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CWATCH_STATE;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;"> when CCOUNT_STATE=></div><div class="MsoNormal" style="line-height: 150%;"> partition_bar <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> ccclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> ccinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> nocolclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> nocolinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> if (enable_bardd = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CLEAR_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (cclimit = '1' ) then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= PWAIT_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (carpres = '0' and quietd='1')then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= IDLE_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CCOUNT_STATE;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;"> when PWAIT_STATE=></div><div class="MsoNormal" style="line-height: 150%;"> partition_bar<='0';</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.5in; text-indent: .5in;">ccclr <='0';</div><div class="MsoNormal" style="line-height: 150%;"> ccinc <='0';</div><div class="MsoNormal" style="line-height: 150%;"> nocolclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> nocolinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> if (enable_bardd = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CLEAR_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (carpres='0' and quietd='1')then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= PHOLD_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= PWAIT_STATE;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;"> when PHOLD_STATE=></div><div class="MsoNormal" style="line-height: 150%;"> partition_bar <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> ccclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> ccinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> nocolclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> nocolinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> if (enable_bardd = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CLEAR_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (collisiond = '1' or copyd='1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= PCWATCH_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= PHOLD_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;"> when PCWATCH_STATE=></div><div class="MsoNormal" style="line-height: 150%;"> partition_bar <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> ccclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> ccinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> nocolclr <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> nocolinc <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> if (enable_bardd = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CLEAR_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (carpres = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= PWAIT_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (quietd = '0') then </div><div class="MsoNormal" style="line-height: 150%;"> newstate <= PHOLD_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (nocoldone = '1' and copyd ='1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= WAIT_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= PCWATCH_STATE;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;"> when WAIT_STATE=></div><div class="MsoNormal" style="line-height: 150%;"> partition_bar <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> ccclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> ccinc <= '0';</div><div class="MsoNormal" style="line-height: 150%;"> nocolclr <= '1';</div><div class="MsoNormal" style="line-height: 150%;"> nocolinc<= '0';</div><div class="MsoNormal" style="line-height: 150%;"> if (enable_bardd = '1') then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= CLEAR_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (carpres = '0' and quietd='1')then</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= IDLE_STATE;</div><div class="MsoNormal" style="line-height: 150%;"> else</div><div class="MsoNormal" style="line-height: 150%;"> newstate <= WAIT_STATE;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;">end case;</div><div class="MsoNormal" style="line-height: 150%;">end process;</div><div class="MsoNormal" style="line-height: 150%;">--State Flip-Flop for Synthesis</div><div class="MsoNormal" style="line-height: 150%;"> plelk: process (txclk,areset)</div><div class="MsoNormal" style="line-height: 150%;"> begin</div><div class="MsoNormal" style="line-height: 150%;"> if areset = '1' then</div><div class="MsoNormal" style="line-height: 150%;"> state <= clear_state;</div><div class="MsoNormal" style="line-height: 150%;"> elsif (txclk'event and txclk ='1') then</div><div class="MsoNormal" style="line-height: 150%;"> state <= newstate;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;">end process;</div><div class="MsoNormal" style="line-height: 150%;">end Behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">PSYNCH</span><o:p></o:p></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">---- any Xilinx primitives in this code.</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;">entity psynch is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> preset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end psynch;</div><div class="MsoNormal" style="line-height: 150%;">architecture Behavioral of psynch is</div><div class="MsoNormal" style="line-height: 150%;">signal temp: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">begin</div><div class="MsoNormal" style="line-height: 150%;">process(preset,clk)</div><div class="MsoNormal" style="line-height: 150%;"> begin</div><div class="MsoNormal" style="line-height: 150%;"> if (preset='1') then</div><div class="MsoNormal" style="line-height: 150%;"> q<='1';</div><div class="MsoNormal" style="line-height: 150%;"> elsif(clk'event and clk='1') then</div><div class="MsoNormal" style="line-height: 150%;"> temp<=d;</div><div class="MsoNormal" style="line-height: 150%;"> q<= temp; </div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;">end process; </div><div class="MsoNormal" style="line-height: 150%;">end Behavioral; </div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">RDFF1</span></b><b><span style="font-size: 10.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;"><o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">---- any Xilinx primitives in this code.</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%;">entity rdff1 is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end rdff1;</div><div class="MsoNormal" style="line-height: 150%;">architecture Behavioral of rdff1 is</div><div class="MsoNormal" style="line-height: 150%;"> begin</div><div class="MsoNormal" style="line-height: 150%;"> p1: process(reset,clk) begin</div><div class="MsoNormal" style="line-height: 150%;"> if(reset='1') then</div><div class="MsoNormal" style="line-height: 150%;"> q<='0';</div><div class="MsoNormal" style="line-height: 150%;"> elsif(clk'event and clk='1') then</div><div class="MsoNormal" style="line-height: 150%;"> q<=d;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;">end process;</div><div class="MsoNormal" style="line-height: 150%;">end Behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">RDFF12<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">---- any Xilinx primitives in this code.</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;">entity rdff1 is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end rdff1;</div><div class="MsoNormal" style="line-height: 150%;">architecture Behavioral of rdff1 is</div><div class="MsoNormal" style="line-height: 150%;"> begin</div><div class="MsoNormal" style="line-height: 150%;"> p1: process(reset,clk) begin</div><div class="MsoNormal" style="line-height: 150%;"> if(reset='1') then</div><div class="MsoNormal" style="line-height: 150%;"> q<='0';</div><div class="MsoNormal" style="line-height: 150%;"> elsif(clk'event and clk='1') then</div><div class="MsoNormal" style="line-height: 150%;"> q<=d;</div><div class="MsoNormal" style="line-height: 150%;">end if;</div><div class="MsoNormal" style="line-height: 150%;">end process;</div><div class="MsoNormal" style="line-height: 150%;">end Behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">RREG</span></b><b><span style="font-size: 10.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;"><o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">---- any Xilinx primitives in this code.</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;">entity rreg is</div><div class="MsoNormal" style="line-height: 150%;"> generic (size:integer:=2);</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> load : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC_vector(size-1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC_vector(size-1 downto 0));</div><div class="MsoNormal" style="line-height: 150%;">end rreg;</div><div class="MsoNormal" style="line-height: 150%;">architecture Behavioral of rreg is</div><div class="MsoNormal" style="line-height: 150%;">begin</div><div class="MsoNormal" style="line-height: 150%;">process(reset,clk)</div><div class="MsoNormal" style="line-height: 150%;"> begin</div><div class="MsoNormal" style="line-height: 150%;"> if (reset='1') then</div><div class="MsoNormal" style="line-height: 150%;"> q<=(others=>'0');</div><div class="MsoNormal" style="line-height: 150%;"> elsif(clk'event and clk='1') then</div><div class="MsoNormal" style="line-height: 150%;"> if load='1' then</div><div class="MsoNormal" style="line-height: 150%;"> q<= d; </div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;"> end if;</div><div class="MsoNormal" style="line-height: 150%;">end process; </div><div class="MsoNormal" style="line-height: 150%;">end Behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">RSYNCH</span></b><b><span style="font-size: 10.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;"><o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">---- any Xilinx primitives in this code.</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;">entity rsynch is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end rsynch;</div><div class="MsoNormal" style="line-height: 150%;">architecture Behavioral of rsynch is</div><div class="MsoNormal" style="line-height: 150%;">signal temp: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">begin</div><div class="MsoNormal" style="line-height: 150%;">process(reset,clk)</div><div class="MsoNormal" style="line-height: 150%;"> begin</div><div class="MsoNormal" style="line-height: 150%;"> if (reset='1') then</div><div class="MsoNormal" style="line-height: 150%;"> q<='0';</div><div class="MsoNormal" style="line-height: 150%;"> elsif(clk'event and clk='1') then</div><div class="MsoNormal" style="line-height: 150%;"> temp<=d;</div><div class="MsoNormal" style="line-height: 150%;"> q<= temp; end if;</div><div class="MsoNormal" style="line-height: 150%;">end process; </div><div class="MsoNormal" style="line-height: 150%;">end Behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">SYMBMUX<o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library ieee;</div><div class="MsoNormal" style="line-height: 150%;">use ieee.std_logic_1164.all;</div><div class="MsoNormal" style="line-height: 150%;">--use basic.counters_pkg.all;</div><div class="MsoNormal" style="line-height: 150%;">entity symbmux is </div><div class="MsoNormal" style="line-height: 150%;">port( txclk: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> areset: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolinc: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> switch1: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> switch2: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> switch3: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbol1: in std_logic_vector( 1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> symbol2: in std_logic_vector( 1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> symbol3: in std_logic_vector( 1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> dmuxout: in std_logic_vector( 5 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> symbolend1:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolend2:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolend3:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd5:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd4:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd3:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd2:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd1:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd0:buffer std_logic);</div><div class="MsoNormal" style="line-height: 150%;">end symbmux;</div><div class="MsoNormal" style="line-height: 150%;">architecture behavior of symbmux is</div><div class="MsoNormal" style="line-height: 150%;">-- signals</div><div class="MsoNormal" style="line-height: 150%;"> signal clearcount: std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> signal symbolcount: std_logic_vector(2 downto 0); </div><div class="MsoNormal" style="line-height: 150%;"> signal sosb1, sosb2, sosb3, bad1, bad2, bad3, jam: std_logic_vector(1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> signal txd, muxout, smuxout: std_logic_vector(5 downto 0);</div><div class="MsoNormal" style="line-height: 150%;">-- Constants</div><div class="MsoNormal" style="line-height: 150%;"> constant plus : std_logic_vector(1 downto 0) := "10"; </div><div class="MsoNormal" style="line-height: 150%;"> constant zero : std_logic_vector(1 downto 0) := "00"; </div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">constant minus: std_logic_vector(1 downto 0):="01";</div><div class="MsoNormal" style="line-height: 150%;">component rdff12 is</div><div class="MsoNormal" style="line-height: 150%;">generic(size:integer:=2);</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> reset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> d : in STD_LOGIC_VECTOR (size-1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> q : buffer STD_LOGIC_VECTOR (size-1 downto 0));</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;">component ascount is</div><div class="MsoNormal" style="line-height: 150%;">generic(countersize:integer:=2);</div><div class="MsoNormal" style="line-height: 150%;"> Port ( clk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> areset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sreset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> enable : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> count : buffer STD_LOGIC_vector(countersize-1 downto 0));</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;"> begin</div><div class="MsoNormal" style="line-height: 150%;">-- Components</div><div class="MsoNormal" style="line-height: 150%;">p1: ascount generic map(CounterSize => 3)</div><div class="MsoNormal" style="line-height: 150%; margin-left: .5in;">port map (txclk, areset, clearcount,symbolinc,symbolcount);--symbol count</div><div class="MsoNormal" style="line-height: 150%;">p2: rdff12 generic map (size => 6) </div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">port map (txclk, areset, muxout, txd);</div><div class="MsoNormal" style="line-height: 150%;"> txd5 <= txd(5);</div><div class="MsoNormal" style="line-height: 150%;"> txd4 <= txd(4);</div><div class="MsoNormal" style="line-height: 150%;"> txd3 <= txd(3);</div><div class="MsoNormal" style="line-height: 150%;"> txd2 <= txd(2);</div><div class="MsoNormal" style="line-height: 150%;"> txd1 <= txd(1);</div><div class="MsoNormal" style="line-height: 150%;"> txd0 <= txd(0);</div><div class="MsoNormal" style="line-height: 150%;"> symbolend1<= symbolcount(0) and not symbolcount(1) and symbolcount(2);</div><div class="MsoNormal" style="line-height: 150%;"> symbolend2<= symbolcount(0) and not symbolcount(1) and not symbolcount(2);</div><div class="MsoNormal" style="line-height: 150%;"> symbolend3<= symbolcount(0) and symbolcount(1) and not symbolcount(2);</div><div class="MsoNormal" style="line-height: 150%;"> clearcount<= symbolend1 or symbolclr;</div><div class="MsoNormal" style="line-height: 150%;">-- Special symbol mux</div><div class="MsoNormal" style="line-height: 150%;"> with symbol1 select</div><div class="MsoNormal" style="line-height: 150%;"> smuxout(1 downto 0) <=</div><div class="MsoNormal" style="line-height: 150%;"> jam when "00", sosb1 when "01", bad1 when "10",</div><div class="MsoNormal" style="line-height: 150%;"> zero when others;</div><div class="MsoNormal" style="line-height: 150%;">--Line 1 switch mux </div><div class="MsoNormal" style="line-height: 150%;"> with switch1 select</div><div class="MsoNormal" style="line-height: 150%;"> muxout(1 downto 0) <=</div><div class="MsoNormal" style="line-height: 150%;"> smuxout(1 downto 0) when '0',</div><div class="MsoNormal" style="line-height: 150%;"> dmuxout(1 downto 0) when others;</div><div class="MsoNormal" style="line-height: 150%;">--Special symbol mux (Line 2) </div><div class="MsoNormal" style="line-height: 150%;"> with symbol2 select</div><div class="MsoNormal" style="line-height: 150%;"> smuxout(3 downto 2) <=</div><div class="MsoNormal" style="line-height: 150%;"> jam when "00", sosb2 when "01",</div><div class="MsoNormal" style="line-height: 150%;"> bad2 when "10",</div><div class="MsoNormal" style="line-height: 150%;"> zero when others;</div><div class="MsoNormal" style="line-height: 150%;">-- Line 2 switch mux</div><div class="MsoNormal" style="line-height: 150%;"> with switch2 select</div><div class="MsoNormal" style="line-height: 150%;"> muxout(3 downto 2) <=</div><div class="MsoNormal" style="line-height: 150%;"> smuxout(3 downto 2) when '0',</div><div class="MsoNormal" style="line-height: 150%;"> dmuxout (3 downto 2) when others;</div><div class="MsoNormal" style="line-height: 150%;">--Special symbol mux (Line 3) </div><div class="MsoNormal" style="line-height: 150%;"> with symbol3 select</div><div class="MsoNormal" style="line-height: 150%;"> smuxout(5 downto 4) <=</div><div class="MsoNormal" style="line-height: 150%;"> jam when "00",</div><div class="MsoNormal" style="line-height: 150%;"> sosb3 when "01",</div><div class="MsoNormal" style="line-height: 150%;"> bad3 when "10",</div><div class="MsoNormal" style="line-height: 150%;"> zero when others;</div><div class="MsoNormal" style="line-height: 150%;">--Line 3 switch mux</div><div class="MsoNormal" style="line-height: 150%;"> with switch3 select</div><div class="MsoNormal" style="line-height: 150%;"> muxout(5 downto 4) <=</div><div class="MsoNormal" style="line-height: 150%;"> smuxout(5 downto 4) when '0',</div><div class="MsoNormal" style="line-height: 150%;"> dmuxout(5 downto 4) when others;</div><div class="MsoNormal" style="line-height: 150%;">--Jam/preamble generation (all lines) </div><div class="MsoNormal" style="line-height: 150%;"> with symbolcount(0) select</div><div class="MsoNormal" style="line-height: 150%;"> jam <=</div><div class="MsoNormal" style="line-height: 150%; margin-left: 2.0in; text-indent: .5in;">plus when '0',</div><div class="MsoNormal" style="line-height: 150%;"> minus when others;</div><div class="MsoNormal" style="line-height: 150%;">--SOSB generation (line 1)</div><div class="MsoNormal" style="line-height: 150%;"> with symbolcount select</div><div class="MsoNormal" style="line-height: 150%;"> sosb1 <=</div><div class="MsoNormal" style="line-height: 150%;"> plus when "000",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "001",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "010",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "011",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "100",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "101",</div><div class="MsoNormal" style="line-height: 150%;"> zero when others;</div><div class="MsoNormal" style="line-height: 150%;">--SOSB generation (line 2)</div><div class="MsoNormal" style="line-height: 150%;"> with symbolcount select</div><div class="MsoNormal" style="line-height: 150%;"> sosb2 <=</div><div class="MsoNormal" style="line-height: 150%;"> minus when "000",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "001",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "010",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "011",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "100",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "101",</div><div class="MsoNormal" style="line-height: 150%;"> zero when others;</div><div class="MsoNormal" style="line-height: 150%;">-- Sosb generation (line 3)</div><div class="MsoNormal" style="line-height: 150%;"> with symbolcount select</div><div class="MsoNormal" style="line-height: 150%;"> sosb3 <=</div><div class="MsoNormal" style="line-height: 150%;"> plus when "000",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "001",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "010",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "011",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "100",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "101",</div><div class="MsoNormal" style="line-height: 150%;"> zero when others;</div><div class="MsoNormal" style="line-height: 150%;">--bad code generation (1 ine 1)</div><div class="MsoNormal" style="line-height: 150%;"> with symbolcount select</div><div class="MsoNormal" style="line-height: 150%;"> bad1 <=</div><div class="MsoNormal" style="line-height: 150%;"> minus when "000",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "001",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "010",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "011",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "100",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "101",</div><div class="MsoNormal" style="line-height: 150%;"> zero when others;</div><div class="MsoNormal" style="line-height: 150%;">--Bad code generation (line 2)</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: .5in;"> with symbolcount select</div><div class="MsoNormal" style="line-height: 150%;"> bad2 <=</div><div class="MsoNormal" style="line-height: 150%;"> plus when "000",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "001",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "010",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "011",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "100",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "101",</div><div class="MsoNormal" style="line-height: 150%;"> zero when others;</div><div class="MsoNormal" style="line-height: 150%;">--code generation (line 3) </div><div class="MsoNormal" style="line-height: 150%;"> with symbolcount select </div><div class="MsoNormal" style="line-height: 150%; margin-left: .5in; text-indent: .5in;"> bad3 <=</div><div class="MsoNormal" style="line-height: 150%;"> minus when "000",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "001",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "010",</div><div class="MsoNormal" style="line-height: 150%;"> plus when "011",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "100",</div><div class="MsoNormal" style="line-height: 150%;"> minus when "101",</div><div class="MsoNormal" style="line-height: 150%;"> zero when others; </div><div class="MsoNormal" style="line-height: 150%;">end behavioral ;</div><div class="MsoNormal" style="line-height: 150%;"><b><span style="font-size: 14.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;">TOP</span></b><b><span style="font-size: 10.0pt; line-height: 150%; mso-bidi-font-size: 12.0pt;"><o:p></o:p></span></b></div><div class="MsoNormal" style="line-height: 150%;">library IEEE;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_1164.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_ARITH.ALL;</div><div class="MsoNormal" style="line-height: 150%;">use IEEE.STD_LOGIC_UNSIGNED.ALL;</div><div class="MsoNormal" style="line-height: 150%;">---- Uncomment the following library declaration if instantiating</div><div class="MsoNormal" style="line-height: 150%;">--library UNISIM;</div><div class="MsoNormal" style="line-height: 150%;">--use UNISIM.VComponents.all;</div><div class="MsoNormal" style="line-height: 150%;">entity top is</div><div class="MsoNormal" style="line-height: 150%;"> port(</div><div class="MsoNormal" style="line-height: 150%;"> reset :in std_logic;--Global reset</div><div class="MsoNormal" style="line-height: 150%;"> clk : in std_logic; --to CKTPAD for TX_CLK</div><div class="MsoNormal" style="line-height: 150%;"> rxd5 :in std_logic; --RXD5</div><div class="MsoNormal" style="line-height: 150%;"> rxd4 :in std_logic; --RXD4</div><div class="MsoNormal" style="line-height: 150%;"> rxd3 :in std_logic; --RXD3</div><div class="MsoNormal" style="line-height: 150%;"> rxd2 :in std_logic; --RXD2</div><div class="MsoNormal" style="line-height: 150%;"> rxd1 :in std_logic; --RXDI</div><div class="MsoNormal" style="line-height: 150%;"> rxd0 :in std_logic;-- RXD0</div><div class="MsoNormal" style="line-height: 150%;"> rx_dv :in std_logic; --RX_DV</div><div class="MsoNormal" style="line-height: 150%;"> rx_er :in std_logic;-- RX_ER</div><div class="MsoNormal" style="line-height: 150%;"> clk1 :in std_logic;-- RX_CLKI</div><div class="MsoNormal" style="line-height: 150%;"> crs1 :in std_logic; --CRSI</div><div class="MsoNormal" style="line-height: 150%;"> enable1_bar:in std_logic; --ENABLEI </div><div class="MsoNormal" style="line-height: 150%;"> link1_bar :in std_logic; --LINKI</div><div class="MsoNormal" style="line-height: 150%;"> clk2 :in std_logic; --RX_CLK2</div><div class="MsoNormal" style="line-height: 150%;"> crs2: in std_logic;-- CRS2</div><div class="MsoNormal" style="line-height: 150%;"> enable2_bar: in std_logic; --ENABLE2</div><div class="MsoNormal" style="line-height: 150%;"> link2_bar: in std_logic; --LINK2</div><div class="MsoNormal" style="line-height: 150%;"> clk3: in std_logic; --RXJLK3</div><div class="MsoNormal" style="line-height: 150%;"> crs3 :in std_logic; --CRS3</div><div class="MsoNormal" style="line-height: 150%;"> enable3_bar :in std_logic;-- ENABLE3</div><div class="MsoNormal" style="line-height: 150%;"> link3_bar: in std_logic;-- LINK3</div><div class="MsoNormal" style="line-height: 150%;"> clk4: in std_logiC; --RX_CLK4</div><div class="MsoNormal" style="line-height: 150%;"> crs4 :in std_logic; --CRS4</div><div class="MsoNormal" style="line-height: 150%;"> enable4_bar :in std_logic;-- ENABLE4</div><div class="MsoNormal" style="line-height: 150%;"> link4_bar :in std_logic;-- LINK4</div><div class="MsoNormal" style="line-height: 150%;"> clk5 :in std_logic; --RX_CLK5</div><div class="MsoNormal" style="line-height: 150%;"> crs5: in std_logic;-- CRS5</div><div class="MsoNormal" style="line-height: 150%;"> enable5_bar :in std_logic;-- ENABLE5</div><div class="MsoNormal" style="line-height: 150%;"> link5_bar: in std_logic;-- LINK5</div><div class="MsoNormal" style="line-height: 150%;"> clk6 :in std_logic; --RX_CLK6</div><div class="MsoNormal" style="line-height: 150%;"> crs6 :in std_logic; --CRS6</div><div class="MsoNormal" style="line-height: 150%;"> enable6_bar :in std_logic; --ENABLE6</div><div class="MsoNormal" style="line-height: 150%;"> link6_bar: in std_logic; --LINK6</div><div class="MsoNormal" style="line-height: 150%;"> clk7 : in std_logic;-- RX_CLK7</div><div class="MsoNormal" style="line-height: 150%;"> crs7 :in std_logic; --CRS7</div><div class="MsoNormal" style="line-height: 150%;"> enable7_bar: in std_logic; --ENABLE7</div><div class="MsoNormal" style="line-height: 150%;"> link7_bar : in std_logic; --LINK?</div><div class="MsoNormal" style="line-height: 150%;"> clk8 :in std_logic; --RX_CLK8</div><div class="MsoNormal" style="line-height: 150%;"> crs8 :in std_logic; --CRS8</div><div class="MsoNormal" style="line-height: 150%;"> enable8_bar :in std_logic; --ENABLE8</div><div class="MsoNormal" style="line-height: 150%;"> link8_bar: in std_logic; --LINK8</div><div class="MsoNormal" style="line-height: 150%;"> rx_en1: buffer std_logic;-- RX_ENI</div><div class="MsoNormal" style="line-height: 150%;"> tx_en1: buffer std_logic; --TX_ENI</div><div class="MsoNormal" style="line-height: 150%;"> partition1_bar: buffer std_logic; --PARTITIONI</div><div class="MsoNormal" style="line-height: 150%;"> jabber1_bar: buffer std_logic; --JABBERI</div><div class="MsoNormal" style="line-height: 150%;"> rx_en2: buffer std_logic; --RX_EN2</div><div class="MsoNormal" style="line-height: 150%;"> tx_en2: buffer std_logic; --TX_EN2</div><div class="MsoNormal" style="line-height: 150%;"> partition2_bar: buffer std_logic;-- PARTITION2</div><div class="MsoNormal" style="line-height: 150%;"> jabber2_bar: buffer std_logic; --JABBER2</div><div class="MsoNormal" style="line-height: 150%;"> rx_en3 :buffer std_logic; --RX_EN3</div><div class="MsoNormal" style="line-height: 150%;"> tx_en3 :buffer std_logic; --tX_EN3</div><div class="MsoNormal" style="line-height: 150%;"> partition3_bar: buffer std_logic; --PARTITION 3</div><div class="MsoNormal" style="line-height: 150%;"> jabber3_bar: buffer std_logic; --JABBER3</div><div class="MsoNormal" style="line-height: 150%;"> rx_en4 :buffer std_logic; --RX_EN4</div><div class="MsoNormal" style="line-height: 150%;"> tx_en4 :buffer std_logic; -- TX_EN4</div><div class="MsoNormal" style="line-height: 150%;"> partition4_bar: buffer std_logic; --PARTITION4</div><div class="MsoNormal" style="line-height: 150%;"> jabber4_bar: buffer std_logic; --JABBER4</div><div class="MsoNormal" style="line-height: 150%;"> rx_en5: buffer std_logic; --RX_ENS</div><div class="MsoNormal" style="line-height: 150%;"> tx_en5: buffer std_logic; --TX_ENS</div><div class="MsoNormal" style="line-height: 150%;"> partition5_bar :buffer std_logic;-- PARTITIONS</div><div class="MsoNormal" style="line-height: 150%;"> jabber5_bar :buffer std_logic; --JABBERS</div><div class="MsoNormal" style="line-height: 150%;"> rx_en6 :buffer std_logic; --RX_EN6</div><div class="MsoNormal" style="line-height: 150%;"> tx_en6 :buffer std_logic; --TX_EN6</div><div class="MsoNormal" style="line-height: 150%;"> partition6_bar: buffer std_logic; --PARTITION6</div><div class="MsoNormal" style="line-height: 150%;"> jabber6_bar: buffer std_logic; --JABBER6</div><div class="MsoNormal" style="line-height: 150%;"> rx_en7 :buffer std_logic; --RX_EN7</div><div class="MsoNormal" style="line-height: 150%;"> tx_en7 : buffer std_logic; --nCEN7</div><div class="MsoNormal" style="line-height: 150%;"> partition7_bar : buffer std_logic; --PARTITION 7</div><div class="MsoNormal" style="line-height: 150%;"> jabber7_bar: buffer std_logic; --JABBER7</div><div class="MsoNormal" style="line-height: 150%;"> rx_en8 :buffer std_logic;-- RX_EN8</div><div class="MsoNormal" style="line-height: 150%;"> tx_en8 :buffer std_logic; --TX_EN8</div><div class="MsoNormal" style="line-height: 150%;"> partition8_bar: buffer std_logic; --PARTITION8</div><div class="MsoNormal" style="line-height: 150%;"> jabber8_bar :buffer std_logic; --JABBER8</div><div class="MsoNormal" style="line-height: 150%;"> txd5 :buffer std_logic;-- TXD5</div><div class="MsoNormal" style="line-height: 150%;"> txd4 :buffer std_logic;-- TXD4</div><div class="MsoNormal" style="line-height: 150%;"> txd3 :buffer std_logic;-- TXD3</div><div class="MsoNormal" style="line-height: 150%;"> txd2 :buffer std_logic;-- TXD2</div><div class="MsoNormal" style="line-height: 150%;"> txd1 :buffer std_logic;-- TXDl</div><div class="MsoNormal" style="line-height: 150%;"> txd0 :buffer std_logic; --TXD0</div><div class="MsoNormal" style="line-height: 150%;"> txdata :buffer std_logic; --TX_ENal</div><div class="MsoNormal" style="line-height: 150%;"> idle :buffer std_logic; --Idle generation</div><div class="MsoNormal" style="line-height: 150%;"> preamble :buffer std_logic; --Preamble generation</div><div class="MsoNormal" style="line-height: 150%;"> data :buffer std_logic; --Data generation</div><div class="MsoNormal" style="line-height: 150%;"> jam :buffer std_logic; --Jam generation</div><div class="MsoNormal" style="line-height: 150%;"> collision :buffer std_logic; --Collision indication</div><div class="MsoNormal" style="line-height: 150%;"> wptr2 :buffer std_LOgic; --Write pointer2</div><div class="MsoNormal" style="line-height: 150%;"> wptr1 :buffer std_logic; --Write pointerl</div><div class="MsoNormal" style="line-height: 150%;"> wptr0 :buffer std_LOgic; --Write pointer0</div><div class="MsoNormal" style="line-height: 150%;"> rptr2 :buffer std_logic; --Read pointer2</div><div class="MsoNormal" style="line-height: 150%;"> rptr1 :buffer std_logic; -- Read pointerl</div><div class="MsoNormal" style="line-height: 150%;"> rptr0 : buffer std_logic ;--Read pointer0</div><div class="MsoNormal" style="line-height: 150%;"> mux: buffer std_logic_vector(5 downto 0)</div><div class="MsoNormal" style="line-height: 150%;"> ); </div><div class="MsoNormal" style="line-height: 150%;">end top;</div><div class="MsoNormal" style="line-height: 150%;">architecture Behavioral of top is</div><div class="MsoNormal" style="line-height: 150%;">component porte is</div><div class="MsoNormal" style="line-height: 150%;"> Port ( txclk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> areset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> crs : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> enable_bar : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> link_bar: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> selected : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> carrier : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> collision : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> jam : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> txdata : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> prescale : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> rx_en : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> tx_en : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> jabber_bar : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> partition_bar : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;"> component clk_mux</div><div class="MsoNormal" style="line-height: 150%;">Port ( clk1 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk2 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk3 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk4 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk5 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk6 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk7 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk8 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> clk9: in std_logic;</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">sel1 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel2 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel3 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel4 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel5 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel6 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel7 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel8 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel9 :in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rxclk : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;"> end component;</div><div class="MsoNormal" style="line-height: 150%;">component fifo is </div><div class="MsoNormal" style="line-height: 150%;">port ( rxclk: in std_logic; --from clock mux circuit</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">txclk: in std_logic; --Reference TX_CLK</div><div class="MsoNormal" style="line-height: 150%;"> areset: in std_logic;-- Asynch reset </div><div class="MsoNormal" style="line-height: 150%;"> wptrclr: in std_logic; --FIFO write pointer clear</div><div class="MsoNormal" style="line-height: 150%;"> wptrinc: in std_logic; --FIFO write pointer incr</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr: in std_logic; --FIFO read pointer clear</div><div class="MsoNormal" style="line-height: 150%;"> rptrinc: in std_logic; --FIFO read poi~ter incr</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">rxd5: in std_logic; --FIFO data input</div><div class="MsoNormal" style="line-height: 150%;"> rxd4: in std_logic;-- FIFO data input</div><div class="MsoNormal" style="line-height: 150%;"> rxd3: in std_logic;-- FIFO d~ta input</div><div class="MsoNormal" style="line-height: 150%;"> rxd2: in std_logic;-- FIFO data input</div><div class="MsoNormal" style="line-height: 150%;"> rxd1: in std_logic; --FIFO data input</div><div class="MsoNormal" style="line-height: 150%;"> rxd0: in std_logic; ---FIFO data input</div><div class="MsoNormal" style="line-height: 150%;"> dmuxout: buffer std_logic_vector(5 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> ---FIFO mux output</div><div class="MsoNormal" style="line-height: 150%;"> wptr2 :buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> wptr1:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> wptr0:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rptr2:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rptr1:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rptr0:buffer std_logic</div><div class="MsoNormal" style="line-height: 150%;"> );</div><div class="MsoNormal" style="line-height: 150%;">end component; </div><div class="MsoNormal" style="line-height: 150%;">component arbiter8 is</div><div class="MsoNormal" style="line-height: 150%;">Port ( txclk : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> areset : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity1 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity2 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity3 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity4 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity5 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity6 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity7 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> activity8 : in STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel1 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel2 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel3 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel4 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel5 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel6 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel7 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> sel8 : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> nosel : buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> carrier :buffer STD_LOGIC;</div><div class="MsoNormal" style="line-height: 150%;"> collision : buffer STD_LOGIC);</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;">component symbmux is </div><div class="MsoNormal" style="line-height: 150%;">port( txclk: in std_logic;</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">areset: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolinc: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> switch1: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> switch2: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> switch3: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbol1: in std_logic_vector( 1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> symbol2: in std_logic_vector( 1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> symbol3: in std_logic_vector( 1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> dmuxout: in std_logic_vector( 5 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> symbolend1:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolend2:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolend3:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd5:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd4:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd3:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd2:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd1:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txd0:buffer std_logic);</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;">component control is </div><div class="MsoNormal" style="line-height: 150%;">port ( txclk: in std_logic;--Reference TX_CLK</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">areset: in std_logic;--Async reset</div><div class="MsoNormal" style="line-height: 150%;"> carrier: in std_logic;</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">collision:in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rx_error: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rx_dv: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolendl:in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolend2: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolend3: in std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolclr: buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbolinc:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> symbol1:buffer std_logic_vector(1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> symbol2:buffer std_logic_vector(1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> symbol3:buffer std_logic_vector(1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;"> switch1: buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> switch2:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> switch3:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> wptrclr:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> wptrinc:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rptrclr:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> rptrinc:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> txdata:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> idle:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> preamble:buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> data: buffer std_logic; </div><div class="MsoNormal" style="line-height: 150%;"> col: buffer std_logic;</div><div class="MsoNormal" style="line-height: 150%;"> prescale:buffer std_logic);</div><div class="MsoNormal" style="line-height: 150%;">end component;</div><div class="MsoNormal" style="line-height: 150%;">signal txclk1, nosel, areset, sel1, sel2, sel3, sel4: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal sel5, sel6, sel7, sel8,sel9, rxclk, txclk: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal activity1, activity2, activity3, activity4: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal activity5, activity6, activity7, activity8: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal carrier: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal wptrclr, wptrinc, rptrclr, rptrinc,symbolinc:std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal switch1, switch2, switch3: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal symbolend1, symbolend2, symbolend3: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal symbolclr : std_logic;</div><div class="MsoNormal" style="line-height: 150%;">signal symbol1, symbol2 , symbol3: std_logic_vector(1 downto 0);</div><div class="MsoNormal" style="line-height: 150%;">signal dmuxout: std_logic_vector(5 downto 0);</div><div class="MsoNormal" style="line-height: 150%;">signal prescale: std_logic;</div><div class="MsoNormal" style="line-height: 150%;">begin</div><div class="MsoNormal" style="line-height: 150%;">--Components</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">ul:clk_mux port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">( clk1, clk2, clk3, clk4, clk5, clk6, clk7, clk8, txclk, sel1, sel2, sel3, sel4, sel5, sel6, sel7, sel8,nosel,rxclk);</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">u2: arbiter8 port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">(txclk, areset ,activity1, activity2, activity3, activity4, activity5, activity6, activity7, activity8, sel1, sel2, sel3, sel4, sel5, sel6, sel7, sel8, nosel, carrier, collision);</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">u3: fifo port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">(rxclk, txclk, areset, wptrclr, wptrinc, rptrclr, rptrinc, rxd5, rxd4, rxd3, rxd2, rxd1, rxd0,dmuxout, wptr2, wptr1, wptr0, rptr2, rptr1, rptr0);</div><div class="MsoNormal" style="line-height: 150%;"> u4: symbmux port map</div><div class="MsoNormal" style="line-height: 150%;"> (txclk, areset,symbolclr, symbolinc, switch1, switch2, switch3, symbol1,</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">symbol2, symbol3, dmuxout, symbolend1, symbolend2,symbolend3, txd5, txd4, txd3, txd2, txd1, txd0);</div><div class="MsoNormal" style="line-height: 150%;"> u5: control port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">(txclk, areset, carrier, collision, rx_er, rx_dv,symbolend1, symbolend2, symbolend3, symbolclr, symbolinc, symbol1, symbol2, symbol3, switch1, switch2, switch3,wptrclr, wptrinc, rptrclr, rptrinc, txdata, idle, preamble, data, jam, prescale);</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">u6: porte port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">(txclk, areset,crs1, enable1_bar, link1_bar,sel1, carrier, collision, jam, txdata, prescale, rx_en1, tx_en1,activity1, jabber1_bar, partition1_bar);</div><div class="MsoNormal" style="line-height: 150%;"> u7: porte port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">(txclk, areset,crs2, enable2_bar, link2_bar,sel2, carrier, collision, jam, txdata, prescale, rx_en2, tx_en2,activity2, jabber2_bar, partition2_bar);</div><div class="MsoNormal" style="line-height: 150%;"> u8: porte port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">(txclk, areset,crs3, enable3_bar, link3_bar,sel3, carrier, collision, jam, txdata, prescale, rx_en3, tx_en3,activity3, jabber3_bar, partition3_bar);</div><div class="MsoNormal" style="line-height: 150%;"> u9: porte port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">(txclk, areset,crs4, enable4_bar, link4_bar,sel4, carrier, collision, jam, txdata, prescale, rx_en4, tx_en4,activity4, jabber4_bar, partition4_bar);</div><div class="MsoNormal" style="line-height: 150%;"> u10: porte port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">(txclk, areset,crs5, enable5_bar, link5_bar,sel5, carrier, collision, jam, txdata, prescale, rx_en5, tx_en5,activity5, jabber5_bar, partition5_bar);</div><div class="MsoNormal" style="line-height: 150%;"> ull: porte port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in; text-indent: 3.0pt;">(txclk, areset, crs6, enable6_bar, link6_bar,sel6, carrier, collision, jam, txdata, prescale, rx_en6, tx_en6,activity6, jabber6_bar, partition6_bar);</div><div class="MsoNormal" style="line-height: 150%;"> u12: porte port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">(txclk, areset,crs7, enable7_bar, link7_bar,sel7, carrier, collision, jam, txdata, prescale, rx_en7, tx_en7,activity7, jabber7_bar, partition7_bar)</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">u13: porte port map</div><div class="MsoNormal" style="line-height: 150%; margin-left: 1.0in;">(txclk, areset,crs8, enable8_bar, link8_bar,sel8, carrier, collision, jam, txdata, prescale, rx_en8, tx_en8,activity8, jabber8_bar, partition8_bar);</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">txclk <= clk;</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">txclk1<= clk;</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;">areset <= reset;</div><div class="MsoNormal" style="line-height: 150%; text-indent: .5in;"> mux<=dmuxout;</div><div class="MsoNormal" style="line-height: 150%;">end behavioral;</div><div class="MsoNormal" style="line-height: 150%;"><br />
</div><div class="MsoNormal" style="line-height: 150%;"><span style="color: red;">FOR FULL PROJECT DOCUMENTATION REQUEST THROUGH COMMENTS.</span></div><br />
<br />
<br />
</div><br />
<br />
<br />
</div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com2tag:blogger.com,1999:blog-452521486547570771.post-43168605476968830092012-04-20T22:34:00.001-07:002012-04-20T22:34:24.234-07:00Automated Energy Metering<div dir="ltr" style="text-align: left;" trbidi="on">
<br />
<div style="text-align: justify;">
<span class="Apple-style-span" style="font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 15px; line-height: 20px;">This one is one of my personal favorites and I expect an extremely reliable energy meter once I finish reviewing the coding part of the meter.</span></div>
<span class="Apple-style-span" style="font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 15px; line-height: 20px;"><div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
Basically, I have used an ASIC chip from Texas Instruments, which measures apparent energy (power rather!) instead of energy delivered to the consumer. Due to inherent losses of a transmission line, a part of the electrical energy generated at the power station is reflected back, while the part that remains is delivered to the household. The traditional meters measure the energy delivered/consumed by the consumer, and not the energy generated. Hence, the actual cost of generation of energy is never recovered. It is estimated that India has lost trillions of rupees due to this faulty meters.</div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
The chip from TI is MSP430FE427, which has an inbuilt embedded system processor (ESP), 16-bit hardware multiplier, three 16-bit sigma-delta ADCs, inbuilt LCD driver, UART/USART comm ports and an arrangement to interface the JTAG emulator.</div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
While designing the circuit, following points have to be taken care of:</div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
1. Measuring the voltage and current from the source as accurately as possible</div>
<div style="text-align: justify;">
2. Providing the +3.3 V to the digital circuitry for its proper functioning</div>
<div style="text-align: justify;">
3. See to it that the 230V from the source doesn't reach/affect/overload the digital circuitry in any form</div>
<div style="text-align: justify;">
4. Displaying the apparent power (kVAh) on the LCD</div>
<div style="text-align: justify;">
5. Measure the current and voltage in both - the phase and the neutral lines, to ensure no tampering has been done with circuit and prevent theft of electricity</div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
(As a further extension to this project, I have also thought of interfacing it with a bluetooth/zigbee module in order to enhance the ease of using the meter)</div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
So the entire project has been divided into 5 different modules for ease of implementation:</div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
1. Power supply section - To provide 3.3 V to the circuit and ensure that the supply from the mains does not affect the circuit</div>
<div style="text-align: justify;">
2. Analog Section - To provide the voltage and current from the mains for measurement purpose to the current transformers</div>
<div style="text-align: justify;">
3. Controller Section - Interpret the measured values, multiply them, process them taking care of the calibration parameters and display the result on the LCD</div>
<div style="text-align: justify;">
4. PCB Design - All the 3 circuits above should be properly integrated into a single chip, which facilitates further entension to the project as I described earlier</div>
<div style="text-align: justify;">
5. Coding of the ESP and the ASIC chip - The heart of the entire project, this part contains codes pertaining to different tasks that need to be performed in the processor</div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
I am using IAR Embedded Workbench for the coding part. The cracked version is easily available for download. I downloaded part of the code from a blog, but didn't find it much useful. I am using it as a reference and develop my own code altogether.</div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
I have finished the design, PCB design as well as part of the coding. I will try and upload the snaps of the circuit and the PCB design asap. The code is going to take atleast another 3 months to finalize. I will keep updating about my progress. Stay tuned!!</div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
(P.S.: I know most of you might not understand what I've written here, for its pretty incomprehensible. But this was the best I could write. And perhaps, this project is too big to write it on a blog, so all I have done is given a bird's eye view)</div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
I'd appreciate further suggestions/queries from you all.</div>
</span></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-42821276733312131342012-03-28T04:12:00.000-07:002012-03-28T04:12:01.083-07:00PC Based Home Automation using VB<div dir="ltr" style="text-align: left;" trbidi="on"><br />
<div style="font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px; text-align: justify;"><br />
</div><div style="font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px; text-align: justify;"><span class="Apple-style-span" style="background-color: white;">The project is based on the concept of controlling the different devices at home using the PC. The parallel port of computer was used as an interface for hardware connection using Computer.</span></div><div style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px; text-align: -webkit-auto;"><span class="Apple-style-span" style="background-color: white;"><br />
</span></div><div style="font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px; text-align: -webkit-auto;"><strong style="background-color: white;">Basic Interface of Software:-</strong></div><div style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px; text-align: -webkit-auto;"><strong style="background-color: white;"><br />
</strong></div><div align="center" style="font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px;"><span class="Apple-style-span" style="background-color: white;"><a href="http://lh3.ggpht.com/_Hhepmk1h9lA/TQo41lrqgRI/AAAAAAAAADc/hAku3s-Pvv0/s1600-h/PCInterface%5B6%5D.jpg" style="color: #2198a6; text-decoration: none;"><img alt="PCInterface" border="0" height="349" src="http://lh5.ggpht.com/_Hhepmk1h9lA/TQo44v4IIQI/AAAAAAAAADg/Sgmv_FT0GTY/PCInterface_thumb%5B4%5D.jpg?imgmax=800" style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; border-width: initial; display: block; float: none; margin-left: auto; margin-right: auto; padding-bottom: 8px; padding-left: 8px; padding-right: 8px; padding-top: 8px; position: relative;" title="PCInterface" width="383" /></a>Fig:- Basic Interface of Home automation using VB</span></div><div style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px; text-align: -webkit-auto;"><span class="Apple-style-span" style="background-color: white;"><br />
</span></div><div style="font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px; text-align: -webkit-auto;"><span class="Apple-style-span" style="background-color: white;"><b><span class="Apple-style-span" style="color: #274e13;">Click below link to Downloads:-</span></b></span></div><div style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px; text-align: -webkit-auto;"><span class="Apple-style-span" style="background-color: white;"><br />
</span></div><div style="font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px; text-align: -webkit-auto;"><span class="Apple-style-span" style="background-color: white;"><span class="Apple-style-span" style="color: red;"><b>1. <a href="http://www.4shared.com/file/v9srg2YA/PC_Based_Home_Automation_for_V.html" style="text-decoration: none;" target="_blank">PC Based Home Automation</a> software</b></span></span></div><div style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18px; text-align: -webkit-auto;"><span class="Apple-style-span" style="background-color: white;"><br />
</span></div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-90995999258468936132012-02-04T04:10:00.000-08:002012-02-04T04:10:20.647-08:00Build your own USB PIC Programmer for low cost<div dir="ltr" style="text-align: left;" trbidi="on"><span class="Apple-style-span" style="color: #999999; font-family: Arial, Verdana, sans-serif; font-size: 12px;"></span><br />
<div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><span class="Apple-style-span" style="color: black;"><span class="Apple-style-span" style="font-size: small;">Ever wished for a programmer that can program all your PIC variants and yes through USB? I have got just the right hardware,firmware and software you need to get through. And the best thing about it is, its really cheap, as compared to the PICkit and other ISP solutions.</span></span></div><div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><span class="Apple-style-span" style="color: black;"><span class="Apple-style-span" style="font-size: small;">All you will have to do is:</span></span></div><div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><span class="Apple-style-span" style="color: black;"><span class="Apple-style-span" style="font-size: small;">1) Etch the layout on your PCB, lay down the component and solder them.</span></span></div><div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><span class="Apple-style-span" style="color: black;"><span class="Apple-style-span" style="font-size: small;">2) Download the firmware hex file to your P18F2550 controller. (You will require some other programmer to do this. Grab one from the lab for the time).</span></span></div><div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><span class="Apple-style-span" style="color: black;"><span class="Apple-style-span" style="font-size: small;">3) Place your controller on your board.</span></span></div><div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><span class="Apple-style-span" style="color: black;"><span class="Apple-style-span" style="font-size: small;">4) Install the PC Programming Software. And your good to go <img alt=":)" class="wp-smiley" src="http://asadnaeem.com/wp-includes/images/smilies/icon_smile.gif" style="background-attachment: initial; background-clip: initial; background-color: transparent; background-image: initial; background-origin: initial; border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; display: inline; font-family: inherit; font-style: inherit; font-weight: inherit; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; max-width: 550px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;" /></span></span></div><div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><br />
</div><div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><strong style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: bold; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><span class="Apple-style-span" style="color: black;"><span class="Apple-style-span" style="font-size: small;">USB PIC PROG Website:</span></span></strong></div><div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><a href="http://usbpicprog.org/" style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-decoration: underline; vertical-align: baseline;" target="_blank"><span class="Apple-style-span" style="font-size: small;"><b><span class="Apple-style-span" style="color: red;">http://usbpicprog.org/</span></b></span></a></div><div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><span class="Apple-style-span" style="color: black;"><span class="Apple-style-span" style="font-size: small;"><br />
</span></span></div><div style="border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; font-family: inherit; font-style: inherit; font-weight: inherit; line-height: 1.4em; margin-bottom: 1.5em; margin-left: 0px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: initial; outline-width: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; vertical-align: baseline;"><span class="Apple-style-span" style="color: black;"><span class="Apple-style-span" style="font-size: small;">You will find a detailed tutorial on their website to get you through. Cheers!</span></span></div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-51302775877194569502012-02-04T04:04:00.000-08:002012-02-04T04:04:38.988-08:00PIC 18F452 microcontroller ADC code<div dir="ltr" style="text-align: left;" trbidi="on"><span class="Apple-style-span" style="font-family: Arial, Verdana, sans-serif; font-size: small;"><span class="Apple-style-span" style="font-size: 13px; line-height: 18px;">PIC 18F452 microcontroller ADC code: use mikroc to build hex file</span></span><br />
<span class="Apple-style-span" style="font-family: Arial, Verdana, sans-serif; font-size: small;"><span class="Apple-style-span" style="font-size: 13px; line-height: 18px;"><br />
</span></span><br />
<span class="Apple-style-span" style="font-family: Arial, Verdana, sans-serif; font-size: 13px; line-height: 18px;">#include<p18f452.h><br />
#include<delays.h><br />
#pragma code My_HiPrio_Int=0×0008<br />
void chk_isr(void);<br />
void AD_ISR(void);<br />
void My_HiPrio_Int(void)<br />
{<br />
chk_isr();<br />
}<br />
#pragma code<br />
#pragma interrupt chk_isr<br />
void chk_isr(void)<br />
{<br />
if(PIR1bits.ADIF==1)<br />
AD_ISR();<br />
}<br />
void main(void)<br />
{<br />
TRISB=0;<br />
TRISD=0;<br />
TRISAbits.TRISA0=0;<br />
ADCON0=0×81;<br />
ADCON1=0xCE;<br />
PIR1bits.ADIF=0;<br />
PIE1bits.ADIE=1;<br />
INTCONbits.PEIE=1;<br />
INTCONbits.GIE=1;<br />
while(1)<br />
{<br />
Delay10TCYx(1);<br />
ADCON0bits.GO=1;<br />
}<br />
}<br />
void AD_ISR(void)<br />
{<br />
PORTB=ADRESL;<br />
PORTD=ADRESH;<br />
Delay10TCYx(250);<br />
PIR1bits.ADIF=0;<br />
}</delays.h></p18f452.h></span></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-53308718612698265712011-11-08T00:15:00.000-08:002011-11-08T00:15:04.518-08:00Multinode RF-link with MRF24J40<div dir="ltr" style="text-align: left;" trbidi="on"><b style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Author:</b><span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"> </span>Sobhan Jahani Parast<br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><b style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Compiler:</b><span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"> mikroBasic PRO for PIC</span><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><b style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Description:</b><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;">With this project you can control output voltage via up and down button for advanced usage you must apply quantitative amendment in hardware and code. There is a schematic provided with the project also.</span><br />
<span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"><br />
</span><br />
<span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"><br />
</span><br />
<a class="zipico download" href="http://www.mikroe.com/download/projects/digital_supply.zip" style="background-attachment: initial; background-clip: initial; background-color: white; background-image: url(http://www.mikroe.com/img/download-types/icon_zip16.gif); background-origin: initial; background-position: 0% 50%; background-repeat: no-repeat no-repeat; color: blue; float: left; font-family: 'Lucida Grande', 'Lucida Sans Unicode', Verdana, Arial, Helvetica, sans-serif; margin-bottom: 0px; margin-left: 48px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: none; outline-width: initial; padding-bottom: 0px; padding-left: 20px; padding-right: 0px; padding-top: 0px;"><b>Download Now [61.06KB]</b></a><span class="Apple-style-span" style="color: #42acff; font-family: 'Trebuchet MS'; font-size: x-small;"><span class="Apple-style-span" style="line-height: 19px;"><br />
</span></span><br />
<span class="Apple-style-span" style="color: #42acff; font-family: 'Trebuchet MS'; font-size: x-small;"><span class="Apple-style-span" style="line-height: 19px;"><br />
</span></span><br />
<span class="Apple-style-span" style="color: #42acff; font-family: 'Trebuchet MS'; font-size: x-small;"><span class="Apple-style-span" style="line-height: 19px;"><br />
</span></span><br />
<span class="Apple-style-span" style="color: #42acff; font-family: 'Trebuchet MS'; font-size: x-small;"><span class="Apple-style-span" style="line-height: 19px;"><br />
</span></span></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-23550471351341570992011-11-08T00:13:00.000-08:002011-11-08T00:13:17.444-08:00MLX90614 Project<div dir="ltr" style="text-align: left;" trbidi="on"><b style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Author:</b><span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"> </span>Robert Underwood<br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><b style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Compiler:</b><span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"> mikroPascal PRO for AVR</span><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><b style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Description:</b><br />
<b><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /></b><span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;">This is an project which helps to convert the C-Code for the MLX90614 IR Infared Thermal Sensor by Melexis 'Microelectronic Integrated Systems' over to AVR PASCAL and too help explain some of the code and addresses.</span><br />
<span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"><br />
</span><br />
<span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; line-height: 19px;"><b><br />
</b></span><br />
<a class="zipico download" href="http://www.mikroe.com/download/projects/mlx90614_atmega16_v1_demo_files.zip" style="background-attachment: initial; background-clip: initial; background-color: white; background-image: url(http://www.mikroe.com/img/download-types/icon_zip16.gif); background-origin: initial; background-position: 0% 50%; background-repeat: no-repeat no-repeat; color: blue; float: left; font-family: 'Lucida Grande', 'Lucida Sans Unicode', Verdana, Arial, Helvetica, sans-serif; margin-bottom: 0px; margin-left: 48px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: none; outline-width: initial; padding-bottom: 0px; padding-left: 20px; padding-right: 0px; padding-top: 0px;"><b>Download Now [3.09KB]</b></a><span class="Apple-style-span" style="color: #42acff; font-family: 'Trebuchet MS';"><span class="Apple-style-span" style="line-height: 19px;"><b><br />
</b></span></span><br />
<span class="Apple-style-span" style="color: #42acff; font-family: 'Trebuchet MS';"><span class="Apple-style-span" style="line-height: 19px;"><b><br />
</b></span></span><br />
<span class="Apple-style-span" style="color: #42acff; font-family: 'Trebuchet MS';"><span class="Apple-style-span" style="line-height: 19px;"><b><br />
</b></span></span><br />
<span class="Apple-style-span" style="color: #42acff; font-family: 'Trebuchet MS';"><span class="Apple-style-span" style="line-height: 19px;"><b><br />
</b></span></span><br />
<span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"><br />
</span><br />
<span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"><br />
</span></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-11038451798535692332011-11-08T00:11:00.001-08:002011-11-08T00:11:59.150-08:00DS1621 Sample AVR Project<div dir="ltr" style="text-align: left;" trbidi="on"><b style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Author:</b><span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"> </span>Robert Underwood<br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><b style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Compiler:</b><span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"> mikroPascal PRO for AVR</span><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><b style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Description:</b><br style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;">Demonstration project developed for the Dallas Maxim DS1621 Digital Thermometer and Thermostat. Project defines and explains as much as to help you along with your developement with this device.</span><br />
<span class="Apple-style-span" style="background-color: #ebf4fb; font-family: 'Trebuchet MS'; line-height: 19px;"><b><br />
</b></span><br />
<a class="zipico download" href="http://www.mikroe.com/download/projects/ds1621_v1_demo_files.zip" style="background-attachment: initial; background-clip: initial; background-color: white; background-image: url(http://www.mikroe.com/img/download-types/icon_zip16.gif); background-origin: initial; background-position: 0% 50%; background-repeat: no-repeat no-repeat; color: blue; float: left; font-family: 'Lucida Grande', 'Lucida Sans Unicode', Verdana, Arial, Helvetica, sans-serif; margin-bottom: 0px; margin-left: 48px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: none; outline-width: initial; padding-bottom: 0px; padding-left: 20px; padding-right: 0px; padding-top: 0px; text-decoration: none;"><b>Download Now [7.66KB]</b></a><br />
<div class="author" style="background-color: white; border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; color: #42acff; float: left; font-size: 0.8em; font: normal normal normal 0.8em/1 'Trebuchet MS'; height: 0px; line-height: 1.5em; padding-bottom: 0px; padding-left: 50px; padding-right: 5px; padding-top: 10px; width: 246px;"><br />
</div><div class="author" style="background-color: white; border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; color: #42acff; float: left; font-size: 0.8em; font: normal normal normal 0.8em/1 'Trebuchet MS'; height: 0px; line-height: 1.5em; padding-bottom: 0px; padding-left: 50px; padding-right: 5px; padding-top: 10px; width: 246px;"><br />
</div><div class="author" style="background-color: white; border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; color: #42acff; float: left; font-size: 0.8em; font: normal normal normal 0.8em/1 'Trebuchet MS'; height: 0px; line-height: 1.5em; padding-bottom: 0px; padding-left: 50px; padding-right: 5px; padding-top: 10px; width: 246px;"><br />
</div><div class="author" style="background-color: white; border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; color: #42acff; float: left; font-size: 0.8em; font: normal normal normal 0.8em/1 'Trebuchet MS'; height: 0px; line-height: 1.5em; padding-bottom: 0px; padding-left: 50px; padding-right: 5px; padding-top: 10px; width: 246px;"><br />
</div><div class="author" style="background-color: white; border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; color: #42acff; float: left; font-size: 0.8em; font: normal normal normal 0.8em/1 'Trebuchet MS'; height: 0px; line-height: 1.5em; padding-bottom: 0px; padding-left: 50px; padding-right: 5px; padding-top: 10px; width: 246px;"><br />
</div><div class="author" style="background-color: white; border-bottom-width: 0px; border-color: initial; border-left-width: 0px; border-right-width: 0px; border-style: initial; border-top-width: 0px; color: #42acff; float: left; font-size: 0.8em; font: normal normal normal 0.8em/1 'Trebuchet MS'; height: 0px; line-height: 1.5em; padding-bottom: 0px; padding-left: 50px; padding-right: 5px; padding-top: 10px; width: 246px;"><br />
</div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-46203372971444706442011-11-08T00:10:00.000-08:002011-11-08T00:10:37.417-08:00DCF77 Clock Project<div dir="ltr" style="text-align: left;" trbidi="on"><b style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Author:</b><span class="Apple-style-span" style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"> </span>Ivica Safranko<br style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><br style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><b style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Compiler:</b><span class="Apple-style-span" style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"> mikroBasic PRO for PIC</span><br style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><br style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;" /><b style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px; margin-bottom: 0px; margin-left: 0px; margin-right: 0px; margin-top: 0px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Description:</b><br />
<div style="text-align: justify;"><span class="Apple-style-span" style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;">This project shows how to receive and decode the DCF77 signal and extract the contained date and time information. Also, this project contains DCF77 library and example that explains its usage. Developed and tested with EasyPIC6.</span></div><div style="text-align: justify;"><span class="Apple-style-span" style="background-color: white; font-family: 'Trebuchet MS'; font-size: 13px; line-height: 19px;"><br />
</span></div><div style="text-align: justify;"><a class="zipico download" href="http://www.mikroe.com/download/projects/dcf77_clock.zip" style="background-attachment: initial; background-clip: initial; background-color: white; background-image: url(http://www.mikroe.com/img/download-types/icon_zip16.gif); background-origin: initial; background-position: 0% 50%; background-repeat: no-repeat no-repeat; float: left; margin-bottom: 0px; margin-left: 48px; margin-right: 0px; margin-top: 0px; outline-color: initial; outline-style: none; outline-width: initial; padding-bottom: 0px; padding-left: 20px; padding-right: 0px; padding-top: 0px; text-align: left; text-decoration: none;"><b><span class="Apple-style-span" style="font-size: large;">Download Now [52.56KB]</span></b></a><div style="text-align: left;"><br />
</div><div style="text-align: left;"><br />
</div><div style="text-align: left;"><br />
</div><div style="text-align: left;"><br />
</div><div style="text-align: left;"><br />
</div></div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-88430742084543634592011-10-13T21:02:00.001-07:002011-10-13T21:02:30.057-07:00Latest Projects list<div dir="ltr" style="text-align: left;" trbidi="on"><span class="Apple-style-span" style="background-color: white; color: #2c2b2b; font-family: arial; font-size: 12px; line-height: 18px;"></span><br />
<h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Projects based on PSoC Mixed Signal Array</span></h3><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC1</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Light intensity based Street light automation using PSOC Mixed Signal Array<strong></strong></td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 2</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Petrochemical level indicator and controller for automation of cotton purification industries using PSOC Mixed Signal Array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 3</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Accelerometer based moving message display with PSoC mixed signal array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 4</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Capsense based Industrial appliances control system with PSoC mixed signal array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 5</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Industrial boiler temperature monitor and controller with PSoC mixed signal array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 6</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Implantation of UART protocol for PC based temperature data logger using PSoC mixed signal array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 7</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Proximity Sensor based intelligent Security system using PSoC mixed signal array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 8</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">PWM control of DC motor using PSoC mixed signal array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 9</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Implementation of I2C protocol for EEPROM interfacing using PSOC Mixed Signal Array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 10</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Implementing loopback I2Cprotocol using PSOC Mixed Signal Array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 11</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Implementing loopback SPI protocol using PSoC mixed signal array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 12</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">Interfacing SPI EEPROM to PSoC mixed signal array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 13</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">RFID access with PSoC mixed signal array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 14</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504">RF based Wireless Industrial Device control using PSOC Mixed Signal Array</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="60">PSC 15</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="504"><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: left;">Auto turn off water pump with four different time slots using PSOC Mixed Signal Array</div></td></tr>
</tbody></table><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: center;"></h3><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: center;"></h3><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Projects based on ARM Processor – LPC 2148</span></h3><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72"><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: left;">ARM1</div></td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px; text-align: left;" width="492">Implementing character LCD Device Driver development on LPC2148 ARM Based 32-bit microcontroller<strong></strong></td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM2</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of Data Encryption Standard on ARM(ARM7TDMI) processor based microcontroller(LPC2148)</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72"><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: left;">ARM3</div></td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of Serial port device driver using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM4</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Real time data acquisition using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM5</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Interfacing of graphical LCD to LPC2148 ARM Based 32-bit microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM6</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Industrial Temperature Monitoring and controlling using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM7</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of EEPROM Interfacing with I2C protocol using ARM 7 TDMI</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM8</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">H-Bridge based DC Motor speed & Direction control using PWM using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM9</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Petrochemical Level Indicator and controller for automation of Cotton Purification industries using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM10</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Intelligent LPG / Smoke Detector with Auto dialer using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM11</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">GSM based Remote Industrial appliances control system using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM12</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">GSM based advanced transformer load sharing system using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM13</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">GSM based automatic vehicle accident detection with GPS based location identification and messaging system using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM14</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Advanced mobile phone signal jammer for GSM, CDMA and 3G networks with prescheduled time duration using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM15</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">A smart ZigBee based wireless weather station monitoring system using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM16</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of ZigBee based multipoint secured PC messaging system ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM17</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Biometric finger print based electronic voting system for rigging free governance using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM18</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Biometric finger print based bank locker system using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM19</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Automatic photovoltaic panel direction control for maximum power tracking using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">ARM20</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Auto turn off for water pump with four different time slots using ARM 7 TDMI processor based LPC2148 Controller</td></tr>
</tbody></table><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Bar Code Reader / RFID / Smart Card Projects</span></h3><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 558px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="66">WK317</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">RFID based banking system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="66">WK318</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">RFID Security Access Control System</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="66">WK319</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">RFID based electronic passport system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="66">WK320</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Smart card based access control system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="66">WK321</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Smart card based electronic passport system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="66">WK 322</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">RFID based Library Automation System</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="66">WK323</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">RFID based Airport Luggage security scanning system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="66">WK326</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Security Access Control System using Bar Code Reader</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="66">WK328</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Library Automation using Bar Code Reader</td></tr>
</tbody></table><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Projects based on Microchip Controller – PIC 16F877A</span></h3><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><br />
</div><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK601</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Data Transmission and Reception from PIC 16F877A MCU to PC’s Hyper Terminal using RS-232 Communication using PIC Microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK602</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Coin operated water vending machine with Refrigerator temperature controller with cool / normal water dispenser using PIC Microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK603</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Petrochemical Level Indicator and Controller for Automation of cotton purification process in spinning mills using PIC Microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK604</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Electronic Complaint Record System with Administrator Play Back using PIC Microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK605</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Speed and Direction Control of DC Motor using PIC Microcontroller using PWM and H – Bridge</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK606</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Real Time Clock based industrial automation using Dallas RTC and I2C protocol using PIC Microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK607</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Temperature Monitoring on Seven-segment Display using PIC Microcontroller for industrial boilers and ovens</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK608</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Stepper Motor Control using PIC Microcontroller for robotic applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK609</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Embedded Rigging free Electronic Voting System with instant results using PIC Microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK610</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Password based Access Control System protocol using PIC Microcontroller</td></tr>
</tbody></table><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><br />
</div><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Biometrics / Finger Print Modules</span></h3><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><br />
</div><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK314</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Finger Print based Access Control System</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK315</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Finger Print based Bank Locker System</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK316</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Finger Print Based electronic passport system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK329</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Finger Print Based Voting System for Rigging-free Governing</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK330</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Finger Print based Driving License Management System</td></tr>
</tbody></table><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;"><br />
</span></div><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Antennas / RADAR / SONAR / Microwave / Fiber-Optic Communication Projects (with Hardware Kit)</span></h3><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><br />
</div><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK414</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Mobile Phone Signal Jammer for GSM, CDMA and 3G Networks with Prescheduled <div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;"></div><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px;">Time Duration (Mobile Jammer)</div></td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WKC2</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Ultrasonic Target Range Estimation using SONAR Technology</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK224</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Industrial appliances control system by decoding dual tone multi frequency signals on GSM / CDMA network.</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WKC4</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of data and voice communication from PC to GSM network with AT commands using GSM modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WKC5</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Study of Parabolic Antenna for Direct to Home Communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WKC6</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">GSM Fixed Wireless Terminal and transferring GSM calls to electronic private automatic branch exchange system with call transfer and call pick up functions using AT89C52 microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WKC7</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of model Radar target direction identifier with remote station alert system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WKC8</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Music transmission and reception with high S/N ratio using fiber optic communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WKC9</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">PC to Microcontroller Secured Data Communication using Fiber Optic communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WKC10</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of high sensitive GSM signal detector with audio visual alert indication</td></tr>
</tbody></table><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><br />
</div><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Wireless Communication – ZigBee / Bluetooth / RF / IR</span></h3><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;"><br />
</span></div><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK301</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Wireless Data Encryption and Decryption for Secured Communication using RF</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK306</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Wireless Electrical Apparatus Control System with Speed Control of AC motor in a plant using RF communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK307</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Wireless DC Motor Speed and Direction Control using RF Communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK309</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Wireless Stepper Motor Control using RF Communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK310</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Wireless Electronic Notice Board with Multi Point Receivers using RF Communication System</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK311</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Wireless Stepper Motor Control using IR Communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK312</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Wireless DC Motor Speed and Direction Control using IR (PWM and H-Bridge)</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK313</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Wireless Electrical Apparatus Control System using IR communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK331</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Wireless Temperature Monitoring on Remote Seven-segment Display using RF</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK332</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">ZigBee based Secured Wireless Data transmission and Reception</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK333</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">ZigBee based Wireless Electronic Notice Board with Multi Point Receivers</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK334</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Two-way Wireless Data Messaging System for Rural Areas using ZigBee Technology</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK335</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Bluetooth based Wireless Device control for Industrial Atomization</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK336</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Bluetooth based Robot Control for Metal Detection Applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK337</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">RF based tsunami detection and remote alert system with 60dB siren</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK338</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Streetlight Power Cable Monitoring System Based on Wireless Sensor Networks using ZigBee communication.</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK339</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">ZigBee based wireless remote weather station monitoring system</td></tr>
</tbody></table><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Mobile Communication – GSM / GPS</span></h3><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><br />
</div><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK401</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Security Integrated system based on wireless access protocol for industrial applications with SMS alert system using GSM modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK403</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Design and development of activation and controlling of home automation system via SMS through microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK404</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">SMS based PWM Speed and Direction control of DC motor using H-Bridge and GSM Modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK405</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">SMS based Speed control of thread roller’s AC motor in spinning mills using GSM</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK406</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Design of an Intelligent SMS based Remote electricity Metering and billing System</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK409</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Supervisory control and data acquisition system (SCADA) using GSM modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK410</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Intelligent real time automatic transformer load sharing and remote control system using GSM modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK411</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Advanced real time vehicle tracking system using GPS modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK412</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Automatic Vehicle Accident Detection and Messaging System using GSM and GPS Modems</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK413</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and development of SMS based Car Engine control system to prevent car theft using GSM modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">Wk414</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Mobile phone signal jammer for GSM, CDMA and 3G networks with user selectable prescheduled time duration (Mobile Jammer)</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK415</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">SMS based Wireless electronic notice board using GSM / CDMA / 3G mobile phone</td></tr>
</tbody></table><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;"><br />
</span></div><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">AT89S52 Microcontroller Based Projects</span></h3><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;"><br />
</span></div><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK203</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Petrochemical Level Indicator and Controller for Automation of cotton purification process in spinning mills using 89S52 MCU</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK204</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Super sensitive Industrial Security System with Auto dialer and 60dB loud siren</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK205</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Coin operated fortune telling system with dancing LED effect</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK206</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Embedded Quiz monitoring system with team performance evaluation</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK207</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Programmable temperature monitor and controller for industrial boilers & ovens</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK208</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">High sensitive LDR based power saver for street light control system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK209</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Embedded Rigging free Electronic Voting System with instant results</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK210</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Embedded Password based Access Control System using I2C protocol</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK211</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Automatic Temperature based Fan Speed Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK212</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Embedded Automatic Car Parking System using AT89C51 MCU</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK213</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">High sensitive IR based Unmanned Railway Gate Control using AT89C51 Microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK214</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Automatic Room Light control with Visitor Counting for power saving applications in seminar halls</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK215</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Embedded automatic two gate interlock for toll tax system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK216</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">DC Motor speed control using PWM Technique</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK217</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Real Time Clock based industrial automation using Dallas RTC and I2C protocol</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK218</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Jogger bench using PWM Technique and H-Bridge with person fall prevent and detection system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK219</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Electronic Notice Board with LED Dot Matrix Display and PS2 key board interfacing for user friendly message entry</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK220</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Automatic School Bell with user defined time schedule using I2C protocol</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK221</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of artificial eye for Intelligent Line Following Robot application</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK222</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">EEPROM Based Prepaid energy meter with auto cut off on no balance</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK223</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Digital Code converters BCD to Grey / Excess-3 / 7-segment</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK224</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">DTMF based Industrial automation and appliances control system for rural and agriculture applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK225</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Auto turn off for water pump with four different time slots for power saving applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK226</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Embedded concentration tester – Touch Me Not using AT89S52</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK227</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Stepper motor control using AT89S52 for robotic applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK228</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Automatic Dam Gate Control System with Caution Alarm</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK229</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Automatic Active Phase selector for single phase load from three phase supply</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK230</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Electronic Private Automatic Branch Exchange System with call forward and call pcik up</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK232</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">RTC based Digital Clock with Seven-segment Display with 12 / 24 hours mode</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK233</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Gold and Silver Rate Display System with EEPROM Data Back up System</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK234</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and implementation of maximum power tracking system by automatic control of solar panel direction accoridng to the sun direction (Model Sunflower)</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">WK6</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of Path clearing assist stick and obstacles detection safety cap for the blind</td></tr>
</tbody></table><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><br />
</div><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Robotics / Solar Robotics / Wireless</span></h3><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">MEMS Technology Robotics</span></h3><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK221</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Implementation of artificial eye for Intelligent Line Following Robot application</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKR2</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">RF Controlled Metal Detecting Robot with Remote Voice And Image Transmission to assist bomb detection and rescue team</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKR3</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Robotic Arm With Base Rotation, Elbow And Wrist Motion With A Functional Gripper for pick and place applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKR4</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Intelligent Autonomous six legged robot to operate on uneven surfaces</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK1</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Remote GSM Mobile phone controlled Robotic Arm with Base Rotation, Elbow and Wrist Motion with a Functional Gripper</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK4</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Hand gesture based wheel chair movement control for the disabled using (Micro Electro Mechanical Sensor) MEMS technology</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK7</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Hand gesture recognition technology based wireless surveillance bomb diffusing robot with mobile jamming technique using MEMS technology</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKR8</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">RF Controlled Robotic Boat to Travel in Water with Wireless Video And Voice</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKR9</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">RF Controlled Fire Fighting Robot with high pressure water sprinkler</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKR10</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">LPG Detecting Robot with wireless Voice And Image Transmission for industrial applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK336</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Bluetooth based Robot Control for Metal Detection Applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK9</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">DTMF based human less boat control for ocean research applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK11</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Wireless target recognition and counter attacking robot with laser gun activation</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK12</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Autonomous Guide Cane for blind or visually impaired travelers using IR</td></tr>
</tbody></table><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><br />
</div><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Solar / Green House / Renewable Energy Sources</span></h3><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKS1</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Low power – high efficient solar based rice cooker with fast cooking capacity for power saving and renewable energy conservation applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKS2</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Implementation of solar fridge with fast chilling applications with temperature monitoring</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKS3</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Solar based air compressor pump for car bike tire inflate</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKS4</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Solar based high efficient vacuum cleaner capable of capturing even 40 microns small dust particles</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKS5</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Implementation of Solar inverter for home / garden / street light applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKS6</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Real Time Clock based solar LED street light automation using Dallas RTC and I2C protocol</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKS7</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Implementation of solar water pump control with four different time slots for power saving applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK234</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Design and implementation of maximum power tracking system by automatic control of solar panel direction accoridng to the sun direction (Model Sunflower)</td></tr>
</tbody></table><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;"><br />
</span></div><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">PC Based projects</span></h3><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK501</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">PC based Appliances control in a plant using PCs parallel port (C-Language)</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK502</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Communicating to 89S52 MCU through windows hyper terminal using RS-232</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK503</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">PC Based stepper motor control for robotic applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK504</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">PC Based DC Motor speed and direction control using PWM and H-Bridge</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WK505</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">PC Based Hi-Tech industrial automation with auto / manual modes of operation</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKC9</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">PC to Microcontroller Secured Data Communication using Fiber Optic cable</td></tr>
</tbody></table><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;"><br />
</span></div><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">VLSI Domain (VHDL / FPGA / Xilinx)</span></h3><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 1</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and Implementation of RFID Mutual Authentication Protocol</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 2</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">FPGA Implementations of the Hummingbird Cryptographic Algorithm</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 3</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and Implementation of WI-Fi Mac Transmitter</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 4</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">A Very Long Instruction Word Vector Media Coprocessor with Cascaded Single Instruction Multiple Data ALUs</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 5</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">FPGA Implementation of universal serial bus (USB) Transceiver Microcell Interface (UTMI) With USB2.0 Specifications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 6</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and implementation of advanced encryption standard (AES)</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 7</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design of Secure Hash Algorithm-1 based on FPGA</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 8</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Cost-Efficient Secure Hash Algorithm Hardware Accelerators using verilog HDL</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 9</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">FPGA Implementation of a Scalable Encryption Algorithm</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 10</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and Implementation of Bluetooth security using VHDL</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 11</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and implementation of Triple Data encryption standard (TDES)</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 12</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and Implementation of BLUE TOOTH Receiver using VHDL</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 13</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and implementation of Universal asynchronous Receiver Transmitter (USART) using VHDL</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 14</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and implementation of client interface memory block for Double data rate synchronous dynamic random access memory – DDR SDRAM</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 15</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and Implementation of Central Processing Unit using VHDL</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 16</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of Electronic Voting Machine (EVM) using VHDL</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 17</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and Implementation of Serial Peripheral Interface Using VHDL</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 18</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Design and implementation of Ethernet transmitter using VHDL</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 19</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">VHDL implementation of Lossless Data Compression</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="72">VLSI 20</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="492">Implementation of general purpose processor using VHDL</td></tr>
</tbody></table><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">IEEE Projects on Power Systems</span></h3><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">(MAT Lab Programming)</span></h3><table border="1" cellpadding="0" cellspacing="0" style="border-collapse: collapse; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM 1</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Available transfer capability ATC enhancement using Static Synchronous Series Compensator SSSC in deregulated environment</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM 2</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Load Flow Analysis using Artificial Neural Network Technology</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM 3</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Allocation of Flexible Ac Transmission System FACTS devices for optimizing total transmission capacity in a competitive market</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM 4</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Combined Economic Load and Emission Dispatch considering the generator constraints</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM 5</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Particle Swarm Optimization P.S.O technique for optimal power flow</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM 6</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Optimal voltage regulator placement in radial distribution system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM 7</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Analysis of radial distribution system with embedded series Flexible Ac Transmission System FACTS device</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM 8</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Optimum reactive dispatch by using Genetic Algorithm</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM 9</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Transient Stability analysis using Thyristor Controlled Series Compensator TCSC</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM 10</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Wavelet based protection schemes for TEED transmission line feeder circuits</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM21</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Transmission network cost allocation using Bus Impedance</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="72">WKM22</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="492">Genetic Algorithm based optimal power dispatch in multinode electricity market</td></tr>
</tbody></table><div style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; margin-top: 10px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;"><br />
</span></div><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">IEEE Projects on Power Electronics</span></h3><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">(MAT Lab Simulink)</span></h3><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM 11</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Design modeling & simulation of SVC for 750km (?/8) transmission line</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM 12</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Simulation of 3 modes of Voltage Regulated Battery Energy Storage System VR-BESS for renewable energy systems</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM 13</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Modulation and control strategies of Matrix Converter</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM 14</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Performance Investigation of reduced loss Current Source Inverter CSI fed induction motor</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM 15</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Space Vector Modulation controlled hybrid active power filter for power conditioning</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM 16</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Recognition of power quality disturbances using S-Transform</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM 17</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Simulation of sensor less vector control of induction motor at zero frequency</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM 18</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">A modular approach of simulink implementation of Induction generator with PWM</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM 19</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Simulation of chopper controlled separately excited DC motor</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM 20</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Space vector based synchronized PWM strategies at low switching frequency in over modulation region for Two Level VSI</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM23</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Speed control of induction machine using wind energy systems</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM24</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Development of grid independent power generation scheme using induction generators</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKM25</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Field control method with MRAS observer technique for the control of AC Drives</td></tr>
</tbody></table><h3 style="border-bottom-style: none; border-bottom-width: 0px; border-color: initial; border-left-style: none; border-left-width: 0px; border-right-style: none; border-right-width: 0px; border-top-style: none; border-top-width: 0px; color: #333333; font: normal normal bold 16px/normal arial; margin-bottom: 5px; margin-left: 0px; margin-right: 0px; margin-top: 20px; padding-bottom: 0px; padding-left: 0px; padding-right: 0px; padding-top: 0px; text-align: justify;"><span style="color: maroon;">Core Electrical Projects with Hardware Kits</span></h3><table border="1" cellpadding="0" cellspacing="0" class="aligncenter" style="border-collapse: collapse; display: block; margin-bottom: 1em !important; margin-left: auto !important; margin-right: auto !important; margin-top: 1em !important; text-align: justify; width: 564px;"><tbody>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK801</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">AC motor speed control using power Triac with display unit</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK802</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Three Phase Load safety implementation with phase fault detector</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK803</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Triac based Sound Scanner for Audio Controlled Lamps</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK804</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Servo controlled voltage stabilizer with higher and lower cut off</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK805</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">PC based substation monitoring</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK806</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">PC based power distribution monitoring system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK807</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">PC based supervisory control and data acquisition system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK808</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Automatic Power factor corrector using capacitive load banks</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK501</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">PC based Appliances control in a plant (C-Language)</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK505</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">PC Based Hi-Tech industrial automation with auto / manual modes of operation</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKS1</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Low power – high efficient solar based rice cooker with fast cooking capacity for power saving and renewable energy conservation applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKS2</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Implementation of solar fridge with fast chilling applications with temperature monitoring</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKS3</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Solar based air compressor pump for car bike tire inflate</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKS4</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Solar based high efficient vacuum cleaner capable of capturing even 40 microns small dust particles</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKS5</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Implementation of Solar inverter for home / garden / street light applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKS6</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Real Time Clock based solar LED street light automation using Dallas RTC and I2C protocol</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WKS7</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Implementation of solar water pump control with four different time slots for power saving applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK234</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Design and implementation of maximum power tracking system by automatic control of solar panel direction accoridng to the sun direction (Model Sunflower)</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK203</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Automation of cotton purification process in spinning mills using 89S52 MCU</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK204</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Super sensitive Industrial Security System with Auto dialer and 60dB loud siren</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK207</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Programmable temperature monitor and controller for industrial boilers and ovens</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK208</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">High sensitive LDR based power saver for street light control system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK210</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Password based Access Control System using I2C protocol with stepper motor driving circuit</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK211</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Temperature based Fan Speed Controller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK212</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Embedded Automatic Car Parking System using AT89C51 MCU</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK213</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">High sensitive IR based Unmanned Railway Gate Control</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK214</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Automatic Room Light control with Visitor Counting for power saving applications in seminar halls</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK215</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Embedded automatic two gate interlock for toll tax system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK216</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">DC Motor speed control using PWM Technique</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK217</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Time based industrial automation using Dallas RTC and I2C protocol</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK218</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Jogger bench using PWM Technique and H-Bridge with person fall prevent and detection system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK220</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Automatic School Bell with user defined time schedule using I2C protocol</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK222</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">EEPROM Based Prepaid energy meter with auto cut off on no balance</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK224</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">DTMF based Industrial automation and appliances control system for rural and agriculture applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK225</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Auto turn off for water pump with four different time slots</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK227</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Stepper motor control using AT89S52 for robotic applications</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK229</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Automatic Active Phase selector for single phase load from three phase supply</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK318</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">RFID Security Access Control System</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK323</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">RFID based Airport Luggage scanning system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK320</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Smart card based stepper motor control and access control system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK314</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Finger print based stepper motor control and access control system</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK401</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Security Integrated system based on wireless access protocol for industrial applications with SMS alert system using GSM modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK403</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Design and development of activation and controlling of home automation system via SMS through microcontroller</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK404</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">SMS based PWM Speed and Direction control of DC motor using H-Bridge and GSM Modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK405</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">SMS based Speed control of thread roller’s AC motor in spinning mills using GSM modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK406</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Design of an Intelligent SMS based Remote electricity Metering and billing System</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK409</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Supervisory control and data acquisition system (SCADA) using GSM modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK410</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Intelligent real time automatic transformer load sharing and remote control system system using GSM modem</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK306</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Wireless Electrical Apparatus Control System with Speed Control in a plant using RF communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK307</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Wireless DC Motor Speed and Direction Control using RF Communication (PWM & H Bridge)</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK309</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Wireless Stepper Motor Control using RF Communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="78">WK313</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" width="486">Wireless Electrical Apparatus Control System using IR communication</td></tr>
<tr style="background-attachment: initial; background-clip: initial; background-color: #f3f3f3; background-image: initial; background-origin: initial; background-position: initial initial; background-repeat: initial initial; border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;"><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="78">WK335</td><td style="border-bottom-color: rgb(204, 204, 204); border-bottom-style: solid; border-bottom-width: 1px; border-left-color: rgb(204, 204, 204); border-left-style: solid; border-left-width: 1px; border-right-color: rgb(204, 204, 204); border-right-style: solid; border-right-width: 1px; border-top-color: rgb(204, 204, 204); border-top-style: solid; border-top-width: 1px; font-family: arial; padding-bottom: 2px; padding-left: 5px; padding-right: 5px; padding-top: 2px;" valign="top" width="486">Bluetooth based Wireless Device control for Industrial Atomization<br />
</td></tr>
</tbody></table></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com1tag:blogger.com,1999:blog-452521486547570771.post-83501520158933242602011-10-01T01:43:00.000-07:002011-10-01T01:43:57.901-07:00Electronic Voting Machine projects<div dir="ltr" style="text-align: left;" trbidi="on"><div class="c17 c27" style="text-align: justify;"><span class="c1">Now a days EVM are being used effectively.</span><span class="c1 c9"> </span><span class="c1">The confidence of the voter in its flawless working is gradually building</span><span class="c1 c9"> </span><span class="c1">up and these machines are thus becom ing quite popular throughout the coun try. Features of the elec tronic voting machines include avoidance of invalid votes and reduction of counting time and the consequent expenditure in curred on manpower deployment.</span></div><div class="c17 c27" style="text-align: justify;"><br />
</div><div class="c17 c7 c33" style="text-align: justify;"><span class="c1"></span></div><div class="c28 c26 c36" style="text-align: justify;"><span class="c1 c9">Hardware description</span></div><div class="c28 c26 c36" style="text-align: justify;"><br />
</div><div class="c17 c28" style="text-align: justify;"><span class="c1">The voting machine circuit being described here is designed around Intel's basic 8085 microprocessor.</span></div><div class="c17 c28" style="text-align: justify;"><span class="c1"> It has two main units:</span></div><div class="c17 c28" style="text-align: justify;"><br />
</div><div class="c28 c26" style="text-align: justify;"><span class="c1">(i) control and processing unit, and</span></div><div class="c28 c26" style="text-align: justify;"><span class="c1">(ii) keyboard and display unit.</span></div><div class="c28 c26" style="text-align: justify;"><br />
</div><div class="c17 c28" style="text-align: justify;"><span class="c1">Keyboard and display are interfaced through a general-purpose programmable peripheral interface (PPD IC 8255. The system monitor programs are stored in 2732 EPROM. RAM 6116 is used for stor ing counts and a portion of it is also used as stack. IC 74L8373 (octal D-type latch) is used for segregating the lower order address bits from multiplexed address data bus of 8085. Two of the higher order bits are decoded by 74LS138 to generate chip select signals for IC4 through IC6. </span></div><div class="c17 c28" style="text-align: justify;"><br />
</div><div class="c17 c28" style="color: red; text-align: justify;"><span class="c1">Download Full Report:</span></div><div class="c17 c28" style="text-align: justify;"><span class="c1"> </span></div><div class="c17 c28" style="text-align: justify;"><span style="font-size: large;"><a href="http://mrfashiononline.com/Project%20Reports/ELECTRONIC%20VOTING%20MACHINE.doc">Download</a></span></div><div class="c17 c28" style="text-align: justify;"><br />
</div><div class="c17 c28" style="text-align: justify;"><br />
</div><div class="c17 c28" style="text-align: justify;"><span style="font-size: large;"> </span><span class="c1"> </span></div><div class="c17 c28" style="text-align: justify;"><br />
</div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com1tag:blogger.com,1999:blog-452521486547570771.post-991413893471660072011-10-01T01:39:00.000-07:002011-10-01T01:39:53.195-07:00Project Report On ATM<div dir="ltr" style="text-align: left;" trbidi="on"><div style="text-align: justify;"><span class="c8">An Automated Teller Machine (ATM) allows customers to perform banking transactions anywhere and at anytime without the need of human teller. By using a debit or ATM card at an ATM, individuals can withdraw cash from checking or savings accounts, make a deposit or transfer money from one account to another or perform other functions. You can also get cash advances using a credit card at an ATM. Individuals should be aware that many banks charge transaction fees – generally ranging from Rs 50-150 per transaction - for using another bank’s ATM. </span></div><br />
<div class="c15 c16 c4 c2" style="text-align: justify;"><span class="c8"></span></div><div class="c15 c16 c2" style="text-align: justify;"><img height="192" src="https://docs.google.com/document/pubimage?id=1KM1KCQhM0B4COFESw1ho7E7XZN4ju7mLGRkKuaXpeE8&image_id=1lCVt78NERbBY5LXfqAQZ8gCX9JgAK8o" width="548" /></div><div class="c15 c16 c2" style="text-align: justify;"><br />
</div><div class="c15 c16 c2" style="text-align: justify;"><span class="c8">The ATM is online with the bank, that is, each transaction will be authorised by the bank on-demand and directly debited from the account's owner. The ATM works as follows. First, the client will insert his/her client card in the ATM and then the ATM will ask for a Personal Identification Number (PIN) , if the number is entered incorrectly several times in a row, most ATMs will retain the card as a security precaution to prevent an unauthorised user from working out the PIN by pure guesswork. </span></div><div class="c15 c16 c2" style="text-align: justify;"><br />
</div><div class="c15 c16 c2" style="text-align: justify;"><span class="c8">Once the correct PIN is given, the ATM will ask for the amount of money to be withdrawn. If the amount is available and if the client has enough money on his credit then the said amount of money will be paid. Whether the amount of money is payable or not, i.e. the ATM has enough cash but could be the case the ATM has no change for that amount, will be also checked. Once the money is offered to the client a countdown is started, i.e. the client has a determined amount of time to pick up the money. If this timeout is over, the money will be collected by the ATM and the transaction will be rolled back. </span><img height="300" src="https://docs.google.com/document/pubimage?id=1KM1KCQhM0B4COFESw1ho7E7XZN4ju7mLGRkKuaXpeE8&image_id=1D8-K0QMxcDS6LFS1fVvBpOmAor_fy7Y" width="89" /><img height="324" src="https://docs.google.com/document/pubimage?id=1KM1KCQhM0B4COFESw1ho7E7XZN4ju7mLGRkKuaXpeE8&image_id=1qDEPbyqDzv3LmhfzkEDPQbvicKaP5gE" width="139" /></div><div class="c15 c16 c2" style="text-align: justify;"><img height="127" src="https://docs.google.com/document/pubimage?id=1KM1KCQhM0B4COFESw1ho7E7XZN4ju7mLGRkKuaXpeE8&image_id=1KTM5CtsShK7YPY3s4tOkJ4Aa6rkcsaE" width="176" /><img height="132" src="https://docs.google.com/document/pubimage?id=1KM1KCQhM0B4COFESw1ho7E7XZN4ju7mLGRkKuaXpeE8&image_id=1G3UVdRaSYWNRuWwbZx-9CCvJtwLISto" width="107" /><img height="128" src="https://docs.google.com/document/pubimage?id=1KM1KCQhM0B4COFESw1ho7E7XZN4ju7mLGRkKuaXpeE8&image_id=12sVhW0CaHzf_lmXOZpD2zK8lmKPV3T0" width="156" /><img height="128" src="https://docs.google.com/document/pubimage?id=1KM1KCQhM0B4COFESw1ho7E7XZN4ju7mLGRkKuaXpeE8&image_id=10vEJXstYfXwseSz7f6aptRsd8aAVBfc" width="144" /></div><div class="c34 c15 c16 c2" style="text-align: justify;"><br />
</div><div class="c34 c15 c16 c2" style="text-align: justify;"><span class="c8">The class Card_input has the methods for reading the code of the client's card and for ejecting the card from the ATM. The class Card_input will interact through the Controller with the class Terminal, where the methods Req_PIN and Req_amount are defined, in order to get the PIN of the user and to verify if the given PIN is correct or not. The class Card will have the information of the cardholder, that is, the Card_number, PIN, and Account_number. The Controller will interact with Bank using the information of the cardholder in order to get the authorization to pay (or not) the requested amount. </span></div><div class="c34 c15 c16 c2" style="text-align: justify;"><br />
</div><div class="c34 c15 c16 c2" style="text-align: justify;"><span class="c8">The bank_interface will send the request to the Accounting class, which belongs to the Bank package, in order to call the Debit method of the accounting class</span><a href="https://docs.google.com/document/pub?id=1KM1KCQhM0B4COFESw1ho7E7XZN4ju7mLGRkKuaXpeE8&embedded=true#" name="id.6eb6b2785c27"></a><span class="c8 c33">3</span><span class="c8">. The Accounting class has the methods Rollback, Authorization and Debit which directly interact with the Accounts class. Rollback is for roll back a transaction (for the case anything is wrong) and should leave the account and the teller machine in the original state; Authorization will authorize or not an operation and Debit will extract the requested amount of money from the account in the case the operation is authorized. </span></div><div class="c34 c15 c16 c2" style="text-align: justify;"><span class="c8">ATMs are generally reliable, but if they do go wrong customers will be left without cash until the following morning or whenever they can get to the bank during opening hours. Of course not all errors are to the detriment of customers; there have been cases of machines giving out money without debiting the account or giving out a higher denomination of note by mistake. </span></div><div class="c34 c15 c16 c2" style="text-align: justify;"><br />
</div><div class="c34 c15 c16 c2" style="text-align: justify;"><span class="c8">There are also many "</span><span class="c8 c24"><a class="c30" href="http://withdrawals/">phantom withdrawals</a></span><span class="c8">" from ATMs, which banks often claim are the result of fraud by customers. Phantom withdrawals are considered to be a problem generated by dishonest insiders by most other observers. </span></div><div class="c34 c15 c16 c2" style="text-align: justify;"><br />
</div><div class="c34 c15 c16 c2" style="text-align: justify;"><br />
</div><div class="c34 c15 c16 c2" style="text-align: justify;"><span class="c8"><span style="color: red;">Download Full Report </span></span></div><div class="c34 c15 c16 c2" style="text-align: justify;"><br />
</div><div class="c34 c15 c16 c2" style="text-align: justify;"><span style="font-size: large;"><b><a href="http://mrfashiononline.com/Project%20Reports/atm.DOC">Download</a><span class="c8"><span style="color: red;"> </span></span></b></span></div><div class="c34 c15 c16 c2" style="text-align: justify;"><br />
</div><div class="c34 c15 c16 c2" style="text-align: justify;"><br />
</div><div class="c34 c15 c16 c2" style="text-align: justify;"><span class="c8"><span style="color: red;"> </span></span></div><div class="c34 c15 c16 c2" style="text-align: justify;"><span class="c8"><span style="color: red;"> </span></span></div><div class="c34 c15 c16 c2" style="text-align: justify;"><span class="c8"><span style="color: red;"> </span></span></div><div class="c34 c15 c16 c2" style="text-align: justify;"><br />
</div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-51570326562063528332011-10-01T01:37:00.000-07:002011-10-01T01:37:35.073-07:00Automatic Beverage Vending Machine Report<div dir="ltr" style="text-align: left;" trbidi="on"><div class="c1 c2" style="text-align: justify;"><span class="c7">An automated beverage vending machine comprises of a microcontroller which is programmed to instruct the system to serve the beverage. Machine will activate when the user insert a five rupee coin into coin slot. This coin will be detected by an IR-sensor and send a signal to microcontroller. The machine comprises of cylinder controlled by microcontroller. A fixed volume beverage is filled in the main container. The beverage is poured in the glass through tap which opens and closes after fixed time period and only activated when container is filled. Hence, the user gets the beverage demanded by him by fully automated technique. </span></div><div class="c1 c2" style="text-align: justify;"><br />
</div><div class="c1 c2" style="text-align: justify;"><span class="c7"> <span style="color: red;">Download Full Project Report</span></span></div><div class="c1 c2" style="text-align: justify;"><span class="c7"> </span></div><div class="c1 c2" style="text-align: justify;"><span style="font-size: large;"><a href="http://mrfashiononline.com/Project%20Reports/An%20automated%20beverage%20vending%20machine.doc">Download</a></span></div><div class="c1 c2" style="text-align: justify;"><br />
</div><div class="c1 c2" style="text-align: justify;"><span style="font-size: large;"> </span><span class="c7"> </span></div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-85826877249333351612011-10-01T01:35:00.000-07:002011-10-01T01:35:22.380-07:00Digital Clock using PIC16F877A and DS1307 RTC Code in MikroC<div dir="ltr" style="text-align: left;" trbidi="on">This picture shows the Circuit Simulated in Proteus : <br />
<br />
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjpLf1PuI7U0J4ILZhtObda_iHjO7FaG4Ni4Pl5S5BvUGwq3lcy8RWOavb_U8Zywhlvmdb4BPZN7el4vEgjRNTQQPlaUZIohO11l9j2dsbPCarGBmGnKjbPAnfFtdS8SEr9jX0PbEd7aCA/s1600-h/ds1307.jpg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5299366241930080578" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjpLf1PuI7U0J4ILZhtObda_iHjO7FaG4Ni4Pl5S5BvUGwq3lcy8RWOavb_U8Zywhlvmdb4BPZN7el4vEgjRNTQQPlaUZIohO11l9j2dsbPCarGBmGnKjbPAnfFtdS8SEr9jX0PbEd7aCA/s320/ds1307.jpg" style="cursor: pointer; display: block; height: 225px; margin: 0px auto 10px; text-align: center; width: 320px;" /></a><br />
<br />
MikroC Code for RTC DS1307 and PIC16F877A in Mikroc Download from below link<br />
<br />
<span style="font-size: small;"><b><a href="http://rapidshare.com/files/194319667/ds1307_Mikroc_shibu.c.html">http://rapidshare.com/files/194319667/ds1307_Mikroc_shibu.c.html</a></b></span></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-52380830244527457922011-10-01T01:33:00.002-07:002011-10-01T01:33:51.360-07:00How to Read and Write Data into EEPROM using MikroC<div dir="ltr" style="text-align: left;" trbidi="on">This is an Example program for Demonstrating read and write Data into EEPROM of a PIC Microcontroller (Pic16F877A have 256 Bytes EEPROM),This program first writes 0xAA into the address 0x00 and the reads and displays it in PORTB . You don't need external EEPROM for storing small user datas.<br />
<br />
Mikroc Having a EEPROM library .There are only two functions in this library<br />
<ul><li>Eeprom_Read </li>
<li>Eeprom_Write</li>
</ul><br />
First function <span style="font-weight: bold;">Eeprom_Read</span> returns an integer value from the specified address. The following is the syntax .<b>unsigned short</b> Eeprom_Read(<b>unsigned int</b> address);<br />
Second Function <span style="font-weight: bold;">Eeprom_Write</span> takes two parameters address and data .<br />
See syntax given below .<br />
<b>void</b> Eeprom_Write(<b>unsigned int</b> address, <b>unsigned short</b> data);<br />
<span style="font-style: italic; font-weight: bold;">See the Example program</span><br />
<br />
<span style="color: #3333ff;">unsigned short data = 0xAA, address=0x00;</span><br />
<br />
<span style="color: #3333ff;">void main() {</span><br />
<span style="color: #3333ff;">PORTB = 0x00;</span><br />
<span style="color: #3333ff;">TRISB = 0x00;</span><br />
<br />
<span style="color: #3333ff;">//writes 0xAA into the location 0x00</span><br />
<span style="color: #3333ff;">EEprom_Write(address,data); </span><br />
<br />
<span style="color: #3333ff;"> //insert 20 or 30 ms delay between every read and write cycle</span><br />
<span style="color: #3333ff;">Delay_ms(20);<br />
<br />
// the following delay is just for making a feel<br />
Delay_ms(1000); </span><br />
<span style="color: #3333ff;"><br />
//reads the data from 0x00 and send it to PORTB</span><br />
<span style="color: #3333ff;">PORTB = Eeprom_Read(address); </span><br />
<span style="color: #3333ff;">Delay_ms(1000);</span><br />
<span style="color: #3333ff;">}</span></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-73121593342262628262011-10-01T01:33:00.000-07:002011-10-01T01:33:25.784-07:00Pulse Width Modulation or PWM Tutorial using PIC 16F877, Proteus and Mikroc<div dir="ltr" style="text-align: left;" trbidi="on"><h3 class="post-title"> </h3><div class="post-body"> <a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiySpvdtr00d_pyvIi0NRsaWsHk5JbJZGYyFT0OghpzrHCj54jz_n8RUtODjZpeoK3ZsRE81bqynXouO9IT92NTKpWRDIkRJWP5L7Ke8VAzNgGXHC30a0MH793ymtrVmWxW59KDqPeB4mc/s1600-h/pwm1.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5301180180836259138" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiySpvdtr00d_pyvIi0NRsaWsHk5JbJZGYyFT0OghpzrHCj54jz_n8RUtODjZpeoK3ZsRE81bqynXouO9IT92NTKpWRDIkRJWP5L7Ke8VAzNgGXHC30a0MH793ymtrVmWxW59KDqPeB4mc/s320/pwm1.JPG" style="cursor: pointer; float: left; height: 240px; margin: 0pt 10px 10px 0pt; width: 320px;" /></a><br />
<br />
Pulse width Modulation or PWM is one of the powerful techniques used in todays control systems.<br />
It is used for speed control of motors, used for measurement , communication and power control.<br />
<br />
Pulse-width Modulation is achieved with the help of a squarewave whose duty cycle (ON time vs OFF time) is changed to get a varying voltage output as a result of average value of waveform. See Picture<br />
<br />
Consider a square wave shown in the figure above.<br />
<br />
<span style="font-size: 130%;"><span style="font-weight: bold;">T</span></span><span style="font-size: 78%;"><span style="font-weight: bold;">ON</span> </span>is the time for which the output is high and <span style="font-size: 130%;">T</span><span style="font-size: 78%; font-weight: bold;">oFF</span> is time for which output is low. Let <span style="font-size: 130%;"><span style="font-weight: bold;">T</span></span><span style="font-size: 78%; font-weight: bold;">total </span>be time period of the wave such that, <span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">total</span><span style="font-weight: bold;"> = </span><span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">ON</span><span style="font-weight: bold;"> +</span><span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">oFF</span><br />
<br />
Duty cycle of a squarewave is defined as<br />
<span style="font-size: 130%; font-weight: bold;">D</span><span style="font-weight: bold;"> = </span><span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">ON</span><span style="font-weight: bold;"> / (</span><span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">ON</span><span style="font-size: 78%; font-weight: bold;"></span><span style="font-weight: bold;">+</span><span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">oFF</span><span style="font-weight: bold;">)= </span><span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">ON</span><span style="font-weight: bold;">/</span><span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">total</span><br />
<br />
See output voltage varies with duty cycle<br />
<br />
<span style="font-size: 130%; font-weight: bold;">V</span><span style="font-size: 78%; font-weight: bold;">OUT</span><span style="font-weight: bold;"> = D x </span><span style="font-size: 130%; font-weight: bold;">V</span><span style="font-size: 78%; font-weight: bold;">IN</span><br />
<br />
<span style="font-size: 130%; font-weight: bold;">V</span><span style="font-size: 78%; font-weight: bold;">OUT</span><span style="font-weight: bold;"> = (</span><span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">ON</span><span style="font-weight: bold;">/</span><span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">total</span><span style="font-weight: bold;">) x </span><span style="font-size: 130%; font-weight: bold;">V</span><span style="font-size: 78%; font-weight: bold;">IN</span><br />
<br />
we see from the final equation the output voltage can be directly varied by varying the <span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">ON</span> value.<br />
<br />
If <span style="font-size: 130%; font-weight: bold;">T</span><span style="font-size: 78%; font-weight: bold;">ON</span> is <span style="font-weight: bold;">Zero </span>, <span style="font-size: 130%; font-weight: bold;">V</span><span style="font-size: 78%; font-weight: bold;">OUT</span><span style="font-weight: bold;"></span> is also <span style="font-weight: bold;">Zero</span>.<br />
<br />
<br />
Now lets see how to write a code for controlling PWM Module of a PIC Micro Controller using the Mikroc compiler.<br />
<br />
MikroC provides a very simple PWM library with 4 functions shown below<br />
<ul style="color: #000099;"><li>Pwm_Init </li>
<li>Pwm_Change_Duty </li>
<li>Pwm_Start </li>
<li>Pwm_Stop </li>
</ul>The <span style="color: #3333ff;">pwm_init</span> used for initializing PWM which takes a arguement of frequency in hertz</div><div class="post-body"><br />
To Initialize a PWM module at 2KHz do this :Pwm_Init(2000);<br />
<br />
<span style="color: #3333ff;">Pwm_Start and Pwm_Stop </span>are used for starting and stopping PWM .<br />
<span style="color: #3333ff;">Pwm_Change_Duty</span> Changes <acronym title="Pulse-width modulation">PWM</acronym> duty ratio. Parameter <span style="font-size: 130%; font-weight: bold;"><code>duty</code> </span>takes values from 0 to 255. It can be calculate using equation <span style="font-size: 130%; font-weight: bold;"><code>(Percent*255)/100</code>. </span>See <span style="font-weight: bold;">0 is 0%, 127 is 50%, and 255 is 100% </span>duty ratio.<br />
<br />
Take a look at the following simple program<br />
<br />
<br />
<div style="color: red;">// microcontroller : P16F877A<br />
// PWM module is set on RC2 Pin No 17.<br />
<br />
unsigned short i;<br />
<br />
void main() {<br />
PORTC = 00; // Set PORTC to $FF<br />
TRISC = 0; // PORTC is output<br />
Pwm_Init(5000); // Initialize PWM module<br />
<br />
Pwm_Start(); // Start PWM<br />
<br />
while (1) { // Endless loop<br />
for(i=0;i<=255;i++)</div><div style="color: red;"> { Pwm1_Change_Duty(i);</div><div style="color: red;"> delay_ms(10); }</div><div style="color: red;"> } } </div><br />
<div style="text-align: justify;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjho0w82ePtTgtm3HjtCmKkH18z-mWf07yaEasxQ8B84O1XLnu7AytSsJUh9J8IVe7P1I4WBBxLYes3tnH38H9kMhKrvH7e4Yd2mtWQKfq_r5yB6v7Kz87jIlaqjFIEDWqlkLMAW-0i4VE/s1600-h/pwm.jpg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5301223852795162658" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjho0w82ePtTgtm3HjtCmKkH18z-mWf07yaEasxQ8B84O1XLnu7AytSsJUh9J8IVe7P1I4WBBxLYes3tnH38H9kMhKrvH7e4Yd2mtWQKfq_r5yB6v7Kz87jIlaqjFIEDWqlkLMAW-0i4VE/s320/pwm.jpg" style="cursor: pointer; float: left; height: 225px; margin: 0pt 10px 10px 0pt; width: 320px;" /></a>This program creates a pwm on pin17 of PIC16F877A .Hope you found this tutorial useful .If you have any doubts about the program .Leave a comment . click to enlarge figure. set CRO channel A Volts to 1 V and time/div to 50us</div><br />
<span style="font-size: large;"><b><a href="http://rapidshare.com/files/196446014/pwm.DSN.html">Download DSN for Proteus</a></b></span></div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-4723253593695491222011-10-01T01:31:00.001-07:002011-10-01T01:31:37.874-07:00How to use ROM for storing DATA in MikroC Avoid Error Not Enough RAM and Strings problem (const truncated)<div dir="ltr" style="text-align: left;" trbidi="on"><span class="postbody">Most of the Microcontrolleres having limited RAM, For Avoiding the Errors <span style="color: #3333ff; font-weight: bold;">Not Enough RAM</span> and<span style="color: #3333ff; font-weight: bold;"> Strings problem (const truncated)</span> .<br />
You have to move the strings to ROM (FLASH program) memory, and there by save RAM.<br />
<br />
In MikroC<br />
<span style="color: #3333ff; font-weight: bold;">if the string is declared as constant - compiler will move it to ROM</span><br />
This is the way in which const truncated problem can be solved if<br />
great number of strings was used that was located in RAM.<br />
<br />
How we can impliment it in MikroC, see the example<br />
<br />
First you declare the following<br />
</span><span style="color: #3333ff;">char text[15]; // this is stored in RAM</span><br />
<span style="color: #3333ff;">const char *mesg1="shibuvarkala1234"; // this is stored in ROM</span><br />
<span style="color: #3333ff;">const char *mesg2="CE Attingal"; // this is stored in ROM</span><br />
<br />
here is the function for copying string before the usage ,<br />
copy the following function to your code( Received from Mikroelecronica) :<br />
<br />
<span style="color: #3366ff;">// --- Copying strings from ROM to RAM</span><br />
<span style="color: #3366ff;">void strConstCpy(char *dest, const char *source) {</span><br />
<span style="color: #3366ff;">while(*source)</span><br />
<span style="color: #3366ff;">*dest++ = *source++ ;</span><br />
<br />
<span style="color: #3366ff;">*dest = 0 ;</span><br />
<span style="color: #3366ff;">}</span><br />
<br />
you have to use the above function to access the strings stored in ROM,<br />
<br />
<span style="color: #3333ff;">strConstCpy(text,mesg1);</span><br />
<span style="color: #3333ff;">LCD_Out(1,1,text); // Now output </span><span style="color: #3333ff;">is shibuvarkala1234</span><br />
<br />
<span style="color: #3333ff;">strConstCpy(text,mesg2);</span><br />
<span style="color: #3333ff;">LCD_Out(1,1,text); // Now output </span><span style="color: #3333ff;">is </span><span style="color: #3333ff;">CE Attingal</span></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-11787637541940297452011-10-01T01:30:00.001-07:002011-10-01T01:30:45.001-07:00Microcontroller Scrolling LCD Display in CCS C<div dir="ltr" style="text-align: left;" trbidi="on"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgpbcHTaSbTHUQfXa5Pgg9wu0XzdYS-otXYMm93yg7vqkYD_uznqJN5yFz-m_IeAXZAEceD2TdyB7erXjgbjHKasKjIa48Xq29HvKfKMjOwpA6tQcfGRKokXOhV5E6eK09q0e3-x1X6MsI/s1600-h/CropperCapture%5B2%5D.Gif"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5314550383966021186" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgpbcHTaSbTHUQfXa5Pgg9wu0XzdYS-otXYMm93yg7vqkYD_uznqJN5yFz-m_IeAXZAEceD2TdyB7erXjgbjHKasKjIa48Xq29HvKfKMjOwpA6tQcfGRKokXOhV5E6eK09q0e3-x1X6MsI/s320/CropperCapture%5B2%5D.Gif" style="cursor: hand; cursor: pointer; display: block; height: 320px; margin: 0px auto 10px; text-align: center; width: 320px;" /></a><br />
<br />
<br />
<span>Most popular 2 x 16 LCD Display HD44780 chipset have a display memory up to 40 charactors.<br />
]</span><span>Only 16 can display on a line. See the program for display the screen to the left or right shift operation .<br />
<br />
<br />
<span style="color: #3333ff;">#include <16f877.h></span><br />
<span style="color: #3333ff;">#fuses XT,NOWDT,PUT,NOPROTECT,BROWNOUT,NOLVP</span><br />
<span style="color: #3333ff;">#use delay(clock=4000000)</span><br />
<span style="color: #3333ff;">#include "lcd.c"</span><br />
<br />
<span style="color: #3333ff;">void forword_dir(void)</span><br />
<span style="color: #3333ff;">{</span><br />
<span style="color: #3333ff;"> lcd_send_byte(0, 0X18);</span><br />
<span style="color: #3333ff;">}</span><br />
<br />
<span style="color: #3333ff;">void backword_dir(void)</span><br />
<span style="color: #3333ff;">{</span><br />
<span style="color: #3333ff;"> lcd_send_byte(0, 0X1E);</span><br />
<span style="color: #3333ff;">}</span><br />
<span style="color: #3333ff;">void main() {</span><br />
<span style="color: #3333ff;">int a,i;</span><br />
<br />
<span style="color: #3333ff;">lcd_init(); //lcd initialize</span><br />
<span style="color: #3333ff;">lcd_putc("\f"); //lcd clear</span><br />
<span style="color: #3333ff;">// lcd memory can hold up to 40 characters </span><br />
<span style="color: #3333ff;">lcd_putc(" http:// shibuvarkala . blogspot . com");</span><br />
<br />
<span style="color: #3333ff;">for(;;){</span><br />
<span style="color: #3333ff;">for(i = 0; i <><br />
<span style="color: #3333ff;"> {</span><br />
<span style="color: #3333ff;"> backword_dir();</span><br />
<span style="color: #3333ff;"> delay_ms(50); // scroll delay</span><br />
<span style="color: #3333ff;"> }</span><br />
<br />
<span style="color: #3333ff;">for(i = 0; i <><br />
<span style="color: #3333ff;"> {</span><br />
<span style="color: #3333ff;"> forword_dir();</span><br />
<span style="color: #3333ff;"> delay_ms(50);</span><br />
<span style="color: #3333ff;"> }</span><br />
<span style="color: #3333ff;"> }</span><br />
<span style="color: #3333ff;">}</span></span></span></span></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-6741705433230938052011-10-01T01:28:00.000-07:002011-10-01T01:28:17.444-07:00Project Report On Microcontroller Based Alarm System<div dir="ltr" style="text-align: left;" trbidi="on"><div class="c12 c6" style="text-align: justify;"><span class="c27 c19 c30"></span></div><div class="c20 c6" style="text-align: justify;"><span class="c2">Today, all instrumentation systems pertaining to industrial process control as well as domestic applications, involve some type of automatic fault - finding facility. This facility detects the faulty condition of the system and draws the attention of the operator towards it, enabling him to take suitable remedial action to ensure the proper operation of the system.</span></div><div class="c20 c6" style="text-align: justify;"><br />
</div><div class="c0" style="text-align: justify;"><span class="c2"></span></div><div class="c20 c6" style="text-align: justify;"><span class="c2">One such method is annunciation in which activation of a visual or a mechanical indicator (called annunciator) takes place when a remote switch or device has been activated as result of fault in certain part of the system. An audio alarm may also be associated with annunciators.</span></div><div class="c20 c6" style="text-align: justify;"><br />
</div><div class="c0" style="text-align: justify;"><span class="c2"></span></div><div class="c20 c6" style="text-align: justify;"><span class="c2">This microcontroller based annunciator system detects up to eight different faulty conditions and informs the operator about them. It is assumed that each faulty condition results in closing of one of the eight interlock links. Eight flashing Light Emitting Diodes (LEDs), corresponding to each of the eight links, have been used as annunciators.</span></div><div class="c20 c6" style="text-align: justify;"><br />
</div><div class="c0" style="text-align: justify;"><span class="c2"></span></div><div class="c20 c6" style="text-align: justify;"><span class="c2">Each annunciation also results in an audible alarm. Here only the faults persisting for more than 20 milliseconds are considered as critical and faults persisting for less than 20 milliseconds are rejected.</span></div><div class="c20 c6" style="text-align: justify;"><br />
</div><div class="c20 c6" style="text-align: justify;"><span class="c2"><span style="color: red;">Download Full Project </span></span></div><div class="c20 c6" style="text-align: justify;"><br />
</div><div class="c20 c6" style="text-align: justify;"><b><span style="font-size: large;"><a href="http://mrfashiononline.com/Project%20Reports/MICROCONTROLLER%20BASED.doc">Download</a></span></b><span class="c2"><b><span style="font-size: large;"> </span></b></span></div><div class="c20 c6" style="text-align: justify;"><span class="c2"><br />
</span></div><div class="c20 c6" style="text-align: justify;"><span class="c2"><br />
</span></div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-33014915488361263412011-08-30T22:38:00.002-07:002011-08-30T22:42:44.339-07:00Design Caller ID using DTMF decoder MT8870<div dir="ltr" style="text-align: left;" trbidi="on"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi6JhCSCC5UShJ-THe08zGG205Cl2Ax3NV54h7kA9cX5IXZHLl-YeOh00nSV9EZ_jhnCx7mInYMtz5lwCl4w-NiyC9-2i8Uu0SZ25XWAEGOUTBf6K86dDe0CTOMQnC7ONNlYEyMvDN_6G4/s1600-h/DTMF+Decoder.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5226941072287547058" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi6JhCSCC5UShJ-THe08zGG205Cl2Ax3NV54h7kA9cX5IXZHLl-YeOh00nSV9EZ_jhnCx7mInYMtz5lwCl4w-NiyC9-2i8Uu0SZ25XWAEGOUTBf6K86dDe0CTOMQnC7ONNlYEyMvDN_6G4/s320/DTMF+Decoder.JPG" style="display: block; height: 234px; margin: 0px auto 10px; text-align: center; width: 368px;" /></a><br />
Hi friends,<br />
<div>one more circuit: DTMF decoder interface for designing Caller ID Unit. It's very simple circuit using DTMF decoder MT8870 (or CM8870). As shown in the circuit, u'll receive an interrupt ( if NAND output is connected to INT of the microcontroller) whenever u receive a call or make a call and then u can use yr program to read the digits coming out of pin 11 to 14 of the MT8870. I designed the circuit with additional features like seperating received calls, missed calls, dialled numbers along with telephone directory.</div><div>Download datasheet:</div><div><a href="http://www.datasheet4u.com/download.php?id=268108">MT8870</a></div><div><a href="http://www.datasheetcatalog.org/datasheet/calmicro/CM8870.pdf">CM8870</a></div><div><br />
</div><div>To determine whether number is dialled or received, u need to know whether receiver is on-hook or off-hook. following simple circuit will give u that indication:</div><div><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiwZfqimhzkc6ZG-cguOqYPeNXSYWChhgzbRCvrfGdTHdVsmqsgJfaCsTx9gWRRiUEqV_9sq3H9X0pjIb070VTotNUU7fNY9irHM4adVb0Qu79lSZh-M6BjXpEEYe5VCrZbMHnil6Xut8E/s1600-h/off-hook+detector.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5226940917804400162" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiwZfqimhzkc6ZG-cguOqYPeNXSYWChhgzbRCvrfGdTHdVsmqsgJfaCsTx9gWRRiUEqV_9sq3H9X0pjIb070VTotNUU7fNY9irHM4adVb0Qu79lSZh-M6BjXpEEYe5VCrZbMHnil6Xut8E/s320/off-hook+detector.JPG" style="display: block; height: 277px; margin: 0px auto 10px; text-align: center; width: 372px;" /></a><br />
I had used microcontroller 8951 with16x2 LCD and Dallas nvRAM for storing numbers and names. The code is in assembly language. It's really easy to make this one with lot of variations. </div><br />
<h3 class="post-title entry-title">Ring Detector for Caller ID Unit </h3><div class="post-header"></div><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhmXcuR7lcmB8pWJIuwJjPQ3hgeBm_gjoeOzXw8laDG7gWyiP0OFOhupyb1sWZINHkTcqkORcQComLngGAwrDiMPPxlyRVxUUBtpeTJmjqip02QKq160Uj0lXHjrqZJmIhuHHT4UhbpMuk/s1600-h/ring+detector.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5227380643697981090" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhmXcuR7lcmB8pWJIuwJjPQ3hgeBm_gjoeOzXw8laDG7gWyiP0OFOhupyb1sWZINHkTcqkORcQComLngGAwrDiMPPxlyRVxUUBtpeTJmjqip02QKq160Uj0lXHjrqZJmIhuHHT4UhbpMuk/s320/ring+detector.JPG" style="cursor: pointer; display: block; height: 150px; margin: 0px auto 10px; text-align: center; width: 458px;" /></a>Hi Friends,<br />
<div style="text-align: justify;">this is an addition to the caller ID circuit of my previous post. For deciding whether call is received call or missed call, u need to monitor the ringing signal along with the off-hook detector signal, which is discussed in the last post. If the off-hook detector signal goes low (i.e. receiver lifted) before the ringing signal stops, then the call is labeled as received call, otherwise it is a missed call.<br />
This small circuit converts the ringing signal (sine wave 90vrms) into 5v dc pulses which can be directly fed to microcontroller pin. The circuit outputs a pulse for each ring. The delay between two pulses is to be monitered and if it exceeds 4 sec, then ring is dead, hence, the call is missed call.!</div>Try it out.. </div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-27222504353813733922011-08-30T22:38:00.000-07:002011-08-30T22:38:31.401-07:00USART-to-USB converter using FT232BM chip<div dir="ltr" style="text-align: left;" trbidi="on"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjxToHuC5zEmNMvAGPZkxC0ixxT7iUOI9QYqtI6kyviihmaJhOaNDNH5BJaYkXQx-NZwNzQbX3bYv8RNrqirB-5X3D7XeYhSFqz_0iz9AGU3TNK8NmwQgqx8QuzmdzgMz1fQr_Er3yGC3s/s1600-h/FT232BM.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5227727667579757426" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjxToHuC5zEmNMvAGPZkxC0ixxT7iUOI9QYqtI6kyviihmaJhOaNDNH5BJaYkXQx-NZwNzQbX3bYv8RNrqirB-5X3D7XeYhSFqz_0iz9AGU3TNK8NmwQgqx8QuzmdzgMz1fQr_Er3yGC3s/s320/FT232BM.JPG" style="cursor: pointer; display: block; margin: 0px auto 10px; text-align: center;" /></a>Hi guys,<br />
<div style="text-align: justify;">here is an easy an popular way to start using USB in your designs without going into learning the complicated USB protocol. This circuit converts normal USART signals from any microcontroller into USB compatible signals which can be directly connected to the PC. If u r designing a circuit and u need pc interface, then this is the best way, use USB, as the RS232 ports are disappearing from PCs and laptops very fast.<br />
<br />
This circuit is as suggested by FTDI's datasheet for the device FT232BM. It also includes an EEPROM to input your device name which will appear in PC when u connect your circuit to PC. The Tx and Rx LED indication is also provided.</div><br />
<div style="text-align: justify;">Drivers for this IC are available free on FTDI's website mentioned below. The drivers create virtual serial comm ports, hence keep the USB protocol completely in background. U can program yr controller just like u r designing it for RS232 communication!!<br />
<br />
<span style="font-weight: bold;">New!</span> (21 May 2010)<br />
<br />
FTDI has released a RS232 to USB converter in DB9 connector package, an easiest way to migrate from RS232 to USB without any change in your PCB, you can have the footprint of DB9 female connector but place this module instead and you are USB ready!! Really cool!!!</div><br />
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiK_JMhuujdy1BGO4al9g-cmco5uIiUDdhVZNKgz91xMrhFZ3vYVsIQHORKqfPGDd97b-F6xWL1Ov2sK1nCy6G4xeuz8GCFYG6WVlqs8h_NdYLUFhhiOrYK1mKNxwo9dLKYeqXTwVULlYc/s1600/rs232-usb.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5473593372984899858" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiK_JMhuujdy1BGO4al9g-cmco5uIiUDdhVZNKgz91xMrhFZ3vYVsIQHORKqfPGDd97b-F6xWL1Ov2sK1nCy6G4xeuz8GCFYG6WVlqs8h_NdYLUFhhiOrYK1mKNxwo9dLKYeqXTwVULlYc/s400/rs232-usb.JPG" style="cursor: pointer; display: block; height: 141px; margin: 0px auto 10px; text-align: center; width: 400px;" /></a><br />
More info: http://www.ftdichip.com </div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-85531063125733448982011-08-30T22:35:00.001-07:002011-08-30T22:35:47.016-07:00Interfacing RTC & serial EEPROM using i2c bus, with ATmega128 uC<div dir="ltr" style="text-align: left;" trbidi="on"> <div class="post-header"> </div><div class="post-body entry-content"> <a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhX7eHvx1ovUbGOZipfxbBgX5eBW3lK8NZOAQ1e1UQfY-c9YWQTOX9N3GAkPr46M6xLkMWfr0XECuEh7WEYONeJkcyppZtAFJ6bhEgCWl-lyK-687m0HN-L_CxMmbf3Li_PUwkuEKggYaA/s1600-h/RTC_EEPROM_Sch.jpeg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5276002335837550626" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhX7eHvx1ovUbGOZipfxbBgX5eBW3lK8NZOAQ1e1UQfY-c9YWQTOX9N3GAkPr46M6xLkMWfr0XECuEh7WEYONeJkcyppZtAFJ6bhEgCWl-lyK-687m0HN-L_CxMmbf3Li_PUwkuEKggYaA/s320/RTC_EEPROM_Sch.jpeg" style="cursor: pointer; float: left; height: 273px; margin: 0pt 10px 10px 0pt; width: 311px;" /></a><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhP_I9qsYUILmuJt_KwZ_lJTJa6roXbiGaUcsLme5rSELepOQwShlvU9Pq2BsJFw-uolhW4_Gs_VF61gXr1JCqGJaXmv5NjduONuClTz7Km83iq2recW_ZgPWhgMRVrxZKnbKAGXZ7EpKU/s1600-h/RTC_EEPROM_Hyp.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5276002469248123122" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhP_I9qsYUILmuJt_KwZ_lJTJa6roXbiGaUcsLme5rSELepOQwShlvU9Pq2BsJFw-uolhW4_Gs_VF61gXr1JCqGJaXmv5NjduONuClTz7Km83iq2recW_ZgPWhgMRVrxZKnbKAGXZ7EpKU/s320/RTC_EEPROM_Hyp.JPG" style="cursor: pointer; float: left; height: 272px; margin: 0pt 10px 10px 0pt; width: 124px;" /></a><br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
Hi friends,<br />
<div style="text-align: justify;">here is my experiment with i2c bus for interfacing serial EEPROM (24C256) and RTC (DS1307) using AVR microcontroller ATmega128. The circuit is also provided with an RS232 port for connecting with PC to send commands for reading/writing EEPROM or setting date/time in RTC (Click on images to enlarge them).<br />
<br />
Communication with PC is done through Hyper Terminal. A screen shot of the message sent to PC by microcontroller immediately after power ON is shown in the figure at the left, where the user is asked to enter choice from the menu options related to EEPROM and RTC. User can store data in EEPROM, or set RTC date and time by entering them using PC keyboard.</div>Hyper Terminal is used with 19200 Baud, No parity, No hardware flow control settings.<br />
<br />
When the circuit is powered on, a welcome message is displayed on the Hyper Terminal window and a menu with 9 options (0-8) is displayed (refer to the figure). The options are explained here:<br />
<br />
0: Erase EEPROM (fills eeprom with 0xff bytes)<br />
1: Write EEPROM (starts writing eeprom starting with 0x0000 address)<br />
2: Read EEPROM (reads eeprom starting with 0x0000 address)<br />
3: Write eeprom page (writes one page of eeprom at specified page number)<br />
4: Read eeprom page (Reads one page of eeprom at specified page number)<br />
5: Display RTC Date (Displays current date from RTC)<br />
6: Display RTC time (Displays current time from RTC)<br />
7: Update RTC Date (Setting new date in RTC)<br />
8: Update RTC time (Setting new time in RTC)<br />
<br />
The option is selected from PC keyboard. While writing to eeprom or RTC the data is entered using PC keyboard as specified by the program.<br />
<br />
The software routines include DS1307 library, 24C256 library & I2C library along with the main function.<br />
<br />
The code is written in C using winAVR (inside AVRStudio). The complete project folder can be downloaded here in zip format.<br />
<br />
<a href="http://sites.google.com/a/dharmanitech.com/rtc-and-serial-eeprom-code/Home/RTC_EEPROM.zip?attredirects=0" style="font-weight: bold;">Download Complete Source code </a><br />
<br />
<div>Datasheets:<br />
<a href="http://www.atmel.com/atmel/acrobat/doc2467.pdf">ATmega128</a> <a href="http://datasheets.maxim-ic.com/en/ds/DS1307.pdf">DS1307</a> <a href="http://www.ramtron.com/files/datasheets/FM24C256ds_r3.2.pdf">FM24C256</a> <a href="http://www.datasheetcatalog.org/datasheet/texasinstruments/max232.pdf">MAX232</a></div><div><br />
Thanks!</div></div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-77438290212723262042011-08-30T22:34:00.001-07:002011-08-30T22:43:51.693-07:00ATmega32 Starter's Kit with LCD, I2C, SPI, RTC, ADC interfaces<div dir="ltr" style="text-align: left;" trbidi="on"><h3 class="post-title entry-title"><br />
</h3><div class="post-header"></div><div class="post-body entry-content"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgJWK9zb6LUB3Pj7KEUJJvGqUlPWGpFmFK5rznk3fuxj2I7B_oISzSUAiooyFkQzK6it9au4mrPuFeNX-qL9_dRwQDVqRy_U6SC9GlDFcji1twZpnIpLrTEmC2bWopoTmqFQWfdp5IB4pM/s1600-h/DSC00172.jpg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5240264015372868546" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgJWK9zb6LUB3Pj7KEUJJvGqUlPWGpFmFK5rznk3fuxj2I7B_oISzSUAiooyFkQzK6it9au4mrPuFeNX-qL9_dRwQDVqRy_U6SC9GlDFcji1twZpnIpLrTEmC2bWopoTmqFQWfdp5IB4pM/s320/DSC00172.jpg" style="cursor: pointer; float: left; height: 191px; margin: 0pt 10px 10px 0pt; width: 211px;" /></a><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgRwWoF9rwhP-CfShwjGjXZ0kFay5_UyjZfb9pt4157qOjEgIYHIhxfq1F5Hoc4DKUkfkqGt97hXL6QHhT9WIqIAdjtCfE5O8aWGWhmL0-9s6WJVVLJS1txwAS8YNDa8WZAOsh1pDwGmrQ/s1600-h/DSC00168.jpg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5240262688125855282" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgRwWoF9rwhP-CfShwjGjXZ0kFay5_UyjZfb9pt4157qOjEgIYHIhxfq1F5Hoc4DKUkfkqGt97hXL6QHhT9WIqIAdjtCfE5O8aWGWhmL0-9s6WJVVLJS1txwAS8YNDa8WZAOsh1pDwGmrQ/s320/DSC00168.jpg" style="cursor: pointer; float: left; height: 194px; margin: 0pt 10px 10px 0pt; width: 227px;" /></a><br />
<br />
<div style="text-align: left;"><br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
Hi friends,<br />
<div style="text-align: justify;">here is my home-made kit of ATmega32 microcontroller interfacing. The ATmega32 controller is rich with features like onboard 32kB in-System programmable flash, 1 KB EEPROM, 2KB SRAM, 10bit ADC (8 channel), SPI bus inteface, TWI (compatible with I2C bus) interface, an USART, analog comparator, etc. That's why I've selected it to load my kit with all those features.<br />
<br />
This M32 card is having an LCD inteface with contrast adjustment, an RS232 port for connecting with PC, a connector for 8 analog voltage inputs to measure by ADC, a Real Time Clock IC DS1307 from maxim with battery back-up, four general purpose keys, two keys for generating interrupts and an LED.<br />
The circuit can be powered by an easily available 12v DC adapter. The voltage regulator IC 7805 used to convert the input into regulated 5v supply.</div><br />
See below the kit in powered on condition and the schematic (click to enlarge the images)<br />
<br />
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjcwhp2M60UvHKdq-PZhJAsqX5d3nIyovBI4ZZZEfRGGwzJs0-4LR1XRu5lhhPimqTlgboCpe-VNPx0WShrlQFYSrvzguG_N3MdBZmk6B6G1A3TI-Hjz2f8wAoYxgwaV7vD76drlcIZjao/s1600-h/DSC00176.jpg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5240268648527368274" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjcwhp2M60UvHKdq-PZhJAsqX5d3nIyovBI4ZZZEfRGGwzJs0-4LR1XRu5lhhPimqTlgboCpe-VNPx0WShrlQFYSrvzguG_N3MdBZmk6B6G1A3TI-Hjz2f8wAoYxgwaV7vD76drlcIZjao/s320/DSC00176.jpg" style="cursor: pointer; float: left; height: 175px; margin: 0pt 10px 10px 0pt; width: 205px;" /></a><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj8Hu3nEN52SXndh45Kg-gzIVc1wITHE3y_dOSzDqBMkvqGjjrPANiaZV6DGOXk0GdjQpSiSED8BwtwlM_PhWtd_HGhNP7POGqfFZT_56s6FFdutiddOJjekgtZz3tysz_kLJ1ar3Au2Ls/s1600-h/M32_Schematic.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5240637964575782658" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj8Hu3nEN52SXndh45Kg-gzIVc1wITHE3y_dOSzDqBMkvqGjjrPANiaZV6DGOXk0GdjQpSiSED8BwtwlM_PhWtd_HGhNP7POGqfFZT_56s6FFdutiddOJjekgtZz3tysz_kLJ1ar3Au2Ls/s320/M32_Schematic.JPG" style="cursor: pointer; float: left; height: 173px; margin: 0pt 10px 10px 0pt; width: 241px;" /></a><br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
The PCB is completely home-made, using the etching technique with the Ferric Chloride chemical. If you want to know how to make a PCB yourself from your layout, visit following webpage, it's really helpful:<br />
<br />
<a href="http://electrons.psychogenic.com/modules/arms/art/10/pcb_howto.php">http://electrons.psychogenic.com/modules/arms/art/10/pcb_howto.php</a><br />
<br />
The schematic and layout of the M32_card shown here are prepared using EAGLE. It's a single layer board for making the home-preparation easy. Check out the bottom layer and the layouts in the pics below:<br />
<br />
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj5Vp0zxRAbMVA8UheLZzEOMkGrHNo7eWKmkXwDhaqhjLRwajuPLO8akpyyaqyjNz75chqXb2-a6DOrNVR09Td4UKg0Ie_ypDRWuWJ4Jbaz2lN8PqZuwX88NjzoPrBJ1Zfm5n3lmSXzwvE/s1600-h/M32_sch.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5240638567216688386" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj5Vp0zxRAbMVA8UheLZzEOMkGrHNo7eWKmkXwDhaqhjLRwajuPLO8akpyyaqyjNz75chqXb2-a6DOrNVR09Td4UKg0Ie_ypDRWuWJ4Jbaz2lN8PqZuwX88NjzoPrBJ1Zfm5n3lmSXzwvE/s320/M32_sch.JPG" style="cursor: pointer; float: left; height: 173px; margin: 0pt 10px 10px 0pt; width: 223px;" /></a><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiX6ByNJGXWmAW1ud41TSzUy5VdfH_RbZh4kblpcf12N96Sz-YnIf3ktDiHrSx-VFvWNUqqCdF8ZaDjRP61I4pAwx9vI5SlWr31d4YqoQszdUJf0JN-3U67q9-25qrA8IvsMAM-Xz9LcuA/s1600-h/M32_pcb.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5240639229622778242" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiX6ByNJGXWmAW1ud41TSzUy5VdfH_RbZh4kblpcf12N96Sz-YnIf3ktDiHrSx-VFvWNUqqCdF8ZaDjRP61I4pAwx9vI5SlWr31d4YqoQszdUJf0JN-3U67q9-25qrA8IvsMAM-Xz9LcuA/s320/M32_pcb.JPG" style="cursor: pointer; float: left; height: 172px; margin: 0pt 10px 10px 0pt; width: 217px;" /></a><br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
The software for the ATmega32 controller is written using imageCraft AVR compiler. The software contains code for LCD interface, use of RTC and communication with PC with RS232 port. Using the Hyper terminal in windows, the functionality of the kit can be checked, as I've mentioned in my previous post of RTC_EEPROM interface with ATmega128.<br />
Sown below are the pics of RTC circuit in test and related screen shot of the hyper terminal while interacting with the M32_Card<br />
<br />
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjrTpKFjLCh5qEl5ky3QhAOcOxCzgPLlbQqQoSitPkvKV4NWScVNspCdISNzQUpsAjWL_mbokwDCbT9uqFncoKRt34Q1e5-EGadUi7g0bRwAn0RYmJVsxTdIlugZi17SAfDPA0I9mrHi6s/s1600-h/RTC_display.jpg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5241304281555702562" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjrTpKFjLCh5qEl5ky3QhAOcOxCzgPLlbQqQoSitPkvKV4NWScVNspCdISNzQUpsAjWL_mbokwDCbT9uqFncoKRt34Q1e5-EGadUi7g0bRwAn0RYmJVsxTdIlugZi17SAfDPA0I9mrHi6s/s200/RTC_display.jpg" style="cursor: pointer; float: left; height: 265px; margin: 0pt 10px 10px 0pt; width: 200px;" /></a><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhnZnvVTuYJh4AcHSTrxVRr-rb_7tBZrz1QNtoSdBOWH4NhuXLr2w1aDdp6ChV4XCKI2ssWGPB1vHj_ENbavZsqmsbX463fvCvhnQCWz3EftVcQmS9i1TkFYc0eQwFXNu1CzzVqsQEBCV8/s1600-h/M32_screenShot.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5242030408006379906" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhnZnvVTuYJh4AcHSTrxVRr-rb_7tBZrz1QNtoSdBOWH4NhuXLr2w1aDdp6ChV4XCKI2ssWGPB1vHj_ENbavZsqmsbX463fvCvhnQCWz3EftVcQmS9i1TkFYc0eQwFXNu1CzzVqsQEBCV8/s200/M32_screenShot.JPG" style="cursor: pointer; float: left; height: 260px; margin: 0pt 10px 10px 0pt; width: 141px;" /></a><br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
The complete source code files including new addition of ADC (check out Here) can be downloaded below.<br />
<br />
<br />
<span style="font-weight: bold;">Download</span><br />
----------------<br />
<a href="https://sites.google.com/a/dharmanitech.com/project-zips/zips/M32_Card.zip?attredirects=0&d=1" style="font-weight: bold;">Source code</a><br />
<a href="https://sites.google.com/a/dharmanitech.com/project-zips/zips/M32_BoardPCB.zip?attredirects=0&d=1"><span style="font-weight: bold;">PCB files </span>(in PDF & EAGLE formats)</a><br />
<br />
</div><div style="text-align: left;">Datasheets:</div><div style="text-align: left;"><a href="http://www.atmel.com/dyn/resources/prod_documents/doc2503.pdf">ATmega32</a> <a href="http://datasheets.maxim-ic.com/en/ds/DS1307.pdf">DS1307</a> <a href="http://www.datasheetcatalog.org/datasheet/texasinstruments/max232.pdf">MAX232</a></div><div style="text-align: left;"><br />
<br />
--------</div></div></div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com1tag:blogger.com,1999:blog-452521486547570771.post-81919253174410414622011-08-30T22:33:00.002-07:002011-08-30T22:33:50.009-07:00DIY AVR Programmers<div dir="ltr" style="text-align: left;" trbidi="on">Hi guys,<br />
for those who are trying to make AVR kit at home, an AVR programmer which can be simple to make will be really useful, as it'll avoid buying the programmer!! Here I'm giving a few webpage links on how to make a programmers yourself.<br />
<br />
- Here is a simple AVR serial programmer, works with PC serial port, easy to make:<br />
<br />
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjreTmvKi2exCFt6QBMe2V1iLjDpgzKFiT8oHfoQfW1VmS86hFGWwZR4Z8sfhcTeCfut94OGZarnMaTm_UaF6l7Jc6uPCTAuX-PQSv2nsKn8j92idGJMXPD6UKgkD1RJYLqz9qA83vMtVk/s1600-h/at_prog_photo_complete.jpg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5241748320797734850" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjreTmvKi2exCFt6QBMe2V1iLjDpgzKFiT8oHfoQfW1VmS86hFGWwZR4Z8sfhcTeCfut94OGZarnMaTm_UaF6l7Jc6uPCTAuX-PQSv2nsKn8j92idGJMXPD6UKgkD1RJYLqz9qA83vMtVk/s200/at_prog_photo_complete.jpg" style="cursor: pointer; float: left; height: 131px; margin: 0pt 10px 10px 0pt; width: 177px;" /></a><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjC8VDLnyblhdhUlyE0aNtPDtsOasLkYLRU0f-Vltz-CTaD9WihxXuQPLIx1Ea3v_HA2ehunv0DFQRE57MVdiUvHtsKXrDvhNJwnavyS02R9XuGeUg9JY6X03rlpMrbbDgjGLBi93j4DFQ/s1600-h/atprog.gif"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5241750429636397154" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjC8VDLnyblhdhUlyE0aNtPDtsOasLkYLRU0f-Vltz-CTaD9WihxXuQPLIx1Ea3v_HA2ehunv0DFQRE57MVdiUvHtsKXrDvhNJwnavyS02R9XuGeUg9JY6X03rlpMrbbDgjGLBi93j4DFQ/s200/atprog.gif" style="cursor: pointer; float: left; height: 131px; margin: 0pt 10px 10px 0pt; width: 257px;" /></a><br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
For complete info check out the webpage:<br />
<a href="http://extremeelectronics.co.in/avr-tutorials/part-iii-making-programmer-and-target/">AVR Serial programmer from Extremeelectronics.co.in</a><br />
<br />
- For using the serial programmer discussed above, a driver software is required to be installed in your pc. Download it from here:<br />
<a href="http://www.lancos.com/prog.html">PonyProg : AVR serial programmer</a><br />
<br />
- <a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhSrQFPLX5ZmzKaFA-2mWSO2knOhTQYiNZkP-0u2WvHuqj9m1Vv5BBMc79s4CZBEQ3oWzCyx8sBRvV6wDr4X6dotF8wlgocf2OAuyBVFNZ8om31NN7sBnV6OKg79BepwqJf4VCjFs6VSx0/s1600-h/USB-AVR-PROG.jpg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5241754763075830626" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhSrQFPLX5ZmzKaFA-2mWSO2knOhTQYiNZkP-0u2WvHuqj9m1Vv5BBMc79s4CZBEQ3oWzCyx8sBRvV6wDr4X6dotF8wlgocf2OAuyBVFNZ8om31NN7sBnV6OKg79BepwqJf4VCjFs6VSx0/s200/USB-AVR-PROG.jpg" style="cursor: pointer; float: left; height: 175px; margin: 0pt 10px 10px 0pt; width: 238px;" /></a>If you are using a laptop which doesn't have serial port then you can buy a low-cost AVR USB programmer shown in the figure. Visit this webpage for buying it:<br />
<div style="text-align: left;"><a href="http://shop.extremeelectronics.co.in/product_info.php?cPath=21&products_id=28">AVR USB Programmer</a></div><br />
<br />
<br />
<br />
<br />
Here is one more option for buying a cheap USB programmer for AVR at around $15 cost:<br />
<a href="http://www.micro4you.com/store/usbasp-avr-usb-isp/prod_52.html">AVR USB Programmer lite</a><br />
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEin2Nv6L_-EFAFNiEe13ko54eUK8HwP1WhvvcrUafnaXv7KtFVatOSb96WQHVRhiLY20iPxs4NMRd9CLnb0_wKENrIvti3hSJrgtAWEXwitMEZ_xfm6KlLsOmJNvqMwBjlePvs4IVaYdFg/s1600-h/usbasp.jpg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5322005859460027026" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEin2Nv6L_-EFAFNiEe13ko54eUK8HwP1WhvvcrUafnaXv7KtFVatOSb96WQHVRhiLY20iPxs4NMRd9CLnb0_wKENrIvti3hSJrgtAWEXwitMEZ_xfm6KlLsOmJNvqMwBjlePvs4IVaYdFg/s320/usbasp.jpg" style="cursor: pointer; float: right; height: 154px; margin: 0pt 0pt 10px 10px; width: 186px;" /></a><br />
And if you want to make an USB programmer yourself, check out here an easy to make AVR USB programmer:<img alt="" src="file:///C:/DOCUME%7E1/CCDHAR%7E1/LOCALS%7E1/Temp/moz-screenshot-1.jpg" /><img alt="" src="file:///C:/DOCUME%7E1/CCDHAR%7E1/LOCALS%7E1/Temp/moz-screenshot-2.jpg" /><br />
<a href="http://www.fischl.de/usbasp/" style="color: #000099;">DIY AVR USB Programmer</a><br />
<br />
- Atmel has given a detailed document on design of In-System Programmer for AVR. It inclludes the schematic of the programmer. Download this pdf document from here:<br />
<a href="http://www.atmel.com/dyn/resources/prod_documents/DOC0943.PDF"> In-System Programmer for AVR, from Atmel</a><br />
<br />
- For those who like pc parallel port better, here is a page containing data on how to make a parallel port AVR programmer along with the download for the pc:<br />
<a href="http://www.speedy-bl.com/avr-prog-e.htm">Parallel port programmer for AVR</a><br />
<br />
- Here is another parallel port programmer from AVRdude:<br />
<a href="http://www.bsdhome.com/avrdude/">AVRdude parallel port programmer</a><br />
<br />
- One more parallel port programmer using AT90S1200 microcontroller, with schematic and the .asm file. (Note: it need a pre-programmed AT90S1200 IC). Check out more on the webpage:<a href="http://electrons.psychogenic.com/modules/arms/art/5/AVRInSystemProgrammer.php"><br />
Psychogenic PPI AVR Programmer</a><br />
<br />
- Apart from these, some more free designs are there, you'll find them by googling!! And if you want to buy, there are plenty of them available!!!<br />
<br />
Hope this info is useful for your project work..<br />
Thanks! </div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0tag:blogger.com,1999:blog-452521486547570771.post-18424036069739141842011-08-30T22:33:00.000-07:002011-08-30T22:33:01.529-07:00Using M32_Card for Data Acquisition with on-board 8 channnel ADC<div dir="ltr" style="text-align: left;" trbidi="on"><h3 class="post-title entry-title"> Using M32_Card for Data Acquisition with on-board 8 channnel ADC </h3><div class="post-header"> </div><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjJIN-BXCghPgAvJZyG5ljeoHNLjdO3y8HSNJx56gcpnE9swUZzBnbsuW37E_c9hVG7tSmjhC0IWYkGUIwsa-X8FrDTaPVVJl6cqxeCmWAN4EGfhyQTyZl0UB4aLLhF6im982DCYeRX7Vc/s1600-h/ccd1.JPG"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5245633266520021586" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjJIN-BXCghPgAvJZyG5ljeoHNLjdO3y8HSNJx56gcpnE9swUZzBnbsuW37E_c9hVG7tSmjhC0IWYkGUIwsa-X8FrDTaPVVJl6cqxeCmWAN4EGfhyQTyZl0UB4aLLhF6im982DCYeRX7Vc/s200/ccd1.JPG" style="cursor: pointer; float: left; height: 250px; margin: 0pt 10px 10px 0pt; width: 123px;" /></a><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgDth8IEmebtIlURg18c_Kjaiv2hEx_DpxVQss8RZtvZcTLKCv1dveCXGM4kVZ1LeuhlFJDBinUWX_iEAXIa8Gpzd7TGgE3np7sSjqmYViozxsRaIuUww4igiQ0FHICEHgwfu0liuc13Ns/s1600-h/M32_1.jpg"><img alt="" border="0" id="BLOGGER_PHOTO_ID_5245637637315457698" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgDth8IEmebtIlURg18c_Kjaiv2hEx_DpxVQss8RZtvZcTLKCv1dveCXGM4kVZ1LeuhlFJDBinUWX_iEAXIa8Gpzd7TGgE3np7sSjqmYViozxsRaIuUww4igiQ0FHICEHgwfu0liuc13Ns/s200/M32_1.jpg" style="cursor: pointer; float: left; height: 236px; margin: 0pt 10px 10px 0pt; width: 322px;" /></a><br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
<br />
Hi friends,<br />
<div style="text-align: justify;">I'm showing here one more application of the home-made M32_Card discussed in my earlier post. The microcontroller of the card is having 8-channel, 10 bit resolution ADC which can be used for analog data acquisition. Using the hyper terminal for the selection of menu, ADC can be selected to display value of the analog voltage applied to each channel (at the 9-pin header pin; 8 ADC channels & 1 GND).<br />
The microcontroller reads the voltage at each channel and sends the data to PC via RS232 cable. At reciving end (PC), the incoming data can be converted into excel format to store the values at regular time intervals.<br />
As shown in the screen-shot of the hyper terminal, I had connected here the 5v Vcc to Channel 0 and an Li cell to channel 7.</div>The M32 can also send a time impression along with the channel data using the on-board RTC DS1307 to record the voltage variation with time.<br />
<br />
The project source code files can be downloaded from here:<br />
<a href="https://sites.google.com/a/dharmanitech.com/project-zips/zips/Data_acqui_M32.zip?attredirects=0&d=1" style="color: #000099; font-weight: bold;">Download Source Code</a><br />
<br />
--------</div>bharadwajhttp://www.blogger.com/profile/16734318662617451979noreply@blogger.com0